0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

数字秒表的组成及主要设计步骤介绍

牵手一起梦 来源:郭婷 2019-07-04 14:01 次阅读

数字秒表由计数显示电路、复位电路、控制电路电源电路组成。通过计数电路、译码电路在显示器上输出,以上部分组成计数显示电路;通过电源清零电路和反馈清零电路实现复位功能,构成复位电路;利用启动开关和停止开关控制触发器产生启动/停止信号,实现秒表的启动和停止动能,构成控制电路;在整个秒表中,电源电路是采用外接电源来实现的。经过布线、焊接、调试等工作,数字秒表成形。在秒表电路中利用一个译码器译出计数器所计时间并经LED显示器显示出来,利用控制电路对秒表进行启动/停止控制。当计时结束后,利用复位电路对其进行复位。

数字秒表的组成及主要设计步骤介绍

在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,数字秒表需有清零控制端,以及启动控制端、保持保持,以便数字时钟能随意停止及启动。数字秒表显示由时(12或24进制任选)、分(60进制)、秒(60进制)、百分之一秒(一百进制)组成,利用扫描显示译码电路在八个数码管显示。

设计内容及步骤:

1、根据电路持点,用层次设计概念。将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口,同时加深层次化设计概念;

2、软件的元件管理深层含义,以及模块元件之间的连接概念,对于不同目录下的同一设计,如何熔合;

3、适配划分前后的仿真内容有何不同概念,仿真信号对象有何不同,有更深一步了解。熟悉了CPLD/FPGA设计的调试过程中手段的多样化;

4、按适配划分后的管脚定位,同相关功能块硬件电路接口连线;

5、所有模块尽量采用VHDL语言设计。

推荐阅读:http://www.elecfans.com/dianlutu/187/20180201628251_2.html

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电源
    +关注

    关注

    182

    文章

    16534

    浏览量

    244648
  • 计数器
    +关注

    关注

    32

    文章

    2121

    浏览量

    92927
  • 触发器
    +关注

    关注

    14

    文章

    1675

    浏览量

    60390
收藏 人收藏

    评论

    相关推荐

    基于CD4033的数字秒表的设计

    本文主要介绍了基于CD4033的数字秒表的设计。此款秒表采用发光数码管显示,计时精度为百分之一秒,最大计时时间为9.99s。电路由时钟电路、
    发表于 05-11 09:07 6482次阅读
    基于CD4033的<b class='flag-5'>数字</b><b class='flag-5'>秒表</b>的设计

    基于MCS51单片机的多通道的数字秒表设计

    和KEIL软件设计一个数字秒表。课题背景:1)本课题是单片机设计性实验的一个基本组成部分,是大学生掌握单片机编程技术和信号发生的基本学习过程。本课题锻炼学生的系统控制能力,实验动手能力,培养学生的单片机编程
    发表于 09-21 20:46

    数字秒表

    上个数字秒表顶起啊
    发表于 10-15 11:01

    数字秒表纠错

    我把电路连好了,可是为什么不能运转呢------------protues数字秒表
    发表于 12-27 22:19

    SDH数字微波通信技术的组成、特点及应用介绍

    SDH 微波通信是新一代的数字微波传输体制。数字微波通信是用微波作为载体传送数字信息的一种通信手段。它兼有SDH 数字通信和微波通信两者的优点,由于微波在空间直线传输的特点,故这种通信
    发表于 06-18 06:11

    数字电视测试的主要参数和主要仪器介绍

    摘要:本文在介绍数字电视基本概念和背景的前提下,介绍数字电视测试的主要参数和主要仪器,并
    发表于 07-23 07:24

    怎么设计一种基于FPGA的数字秒表

    本文介绍一种以FPGA为核心,设计了一种基于FPGA的数字秒表
    发表于 05-10 06:40

    分享一种数字秒表设计方法

    本文介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,
    发表于 05-11 06:37

    怎样去设计基于FPGA的数字秒表

    怎样去设计基于FPGA的数字秒表?如何对数字秒表进行仿真测试?
    发表于 05-13 07:17

    基于单片机的数字秒表的设计简介

    设计简介:本设计是基于单片机的数字秒表的设计,主要实现以下功能:可实现LCD12864显示时间以及倒计时、顺计时等信息;可实现通过按键调节调节时间的大小以及实现计时功能;可实现通过通过语音播报目前
    发表于 12-08 06:31

    数字秒表电路图

    数字秒表电路图
    发表于 05-08 14:39 6607次阅读
    <b class='flag-5'>数字</b><b class='flag-5'>秒表</b>电路图

    基于CPLD的VHDL语言数字钟(含秒表)设计

    基于CPLD的VHDL语言数字钟(含秒表)设计
    发表于 11-04 15:14 9次下载

    课程设计任务书-数字秒表

    课程设计任务书-数字秒表! 资料来源网络,如有侵权,敬请见谅!
    发表于 11-12 17:50 28次下载

    一种基于FPGA的数字秒表设计方法

    文中介绍了一种基于FPGA的数字秒表设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。最后经实验验证,该数字
    发表于 11-18 12:13 9474次阅读

    基于单片机的数字秒表

    设计简介:本设计是基于单片机的数字秒表的设计,主要实现以下功能:可实现LCD12864显示时间以及倒计时、顺计时等信息; 可实现通过按键调节调节时间的大小以及实现计时功能; 可实现通过通过语音播报
    发表于 11-25 17:06 32次下载
    基于单片机的<b class='flag-5'>数字</b><b class='flag-5'>秒表</b>