0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado 专家系列演讲

Xilinx赛灵思官微 来源:djl 作者:赛灵思 2019-07-31 17:54 次阅读

赛灵思“Vivado 专家系列”研讨会将由来自赛灵思 Vivado 开发者及资深技术支持团队成员为您带来包括技术分享、设计方法学、设计技巧等内容,以帮助用户快速提高其基于 FPGA 的设计效率。此次研讨会为该系列的第一期,旨在深入剖析 Vivado 高速时序收敛技术。另外我们还将总结高速设计面临的挑战,介绍设计分析、设计向导以及设计复杂性和拥塞的分析方法。

演 讲 嘉 宾

高亚军(Lauren Gao)

赛灵思战略应用高级工程师

专注于 C/C++ 高层次综合,拥有多年利用 Xilinx FPGA 实现数字信号处理算法的经验,对 Xilinx FPGA 的架构、开发工具和设计理念有深入的理解。发布《Vivado入门与提高》,《Vivado HLS 快速上手》等网络视频课程,点击率超过10万、出版《基于FPGA的数字信号处理(第2版)》及《Vovadp从此开始》等书籍,广受好评。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 赛灵思
    +关注

    关注

    32

    文章

    1794

    浏览量

    130511
  • Vivado
    +关注

    关注

    18

    文章

    789

    浏览量

    65092
收藏 人收藏

    评论

    相关推荐

    Vivado时序问题分析

    有些时候在写完代码之后呢,Vivado时序报红,Timing一栏有很多时序问题。
    的头像 发表于 01-05 10:18 396次阅读

    VIVADO软件使用问题总结

    【关键问题!!!!重要!!!】VIVADO会在MESSAGE窗口出提示很多错误和警告信息!
    的头像 发表于 12-15 10:11 833次阅读
    <b class='flag-5'>VIVADO</b>软件使用问题总结

    vivado使用误区与进阶资料

    想到要写这一系列关于工具和方法学的小文章是在半年多前,那时候Vivado®已经推出两年,陆续也接触了不少客户和他们的设计。我所在的部门叫做“Tools & Methodology
    发表于 09-20 06:31

    Vivado设计套件用户:使用Vivado IDE的指南

    电子发烧友网站提供《Vivado设计套件用户:使用Vivado IDE的指南.pdf》资料免费下载
    发表于 09-13 15:25 5次下载
    <b class='flag-5'>Vivado</b>设计套件用户:使用<b class='flag-5'>Vivado</b> IDE的指南

    演讲嘉宾官宣!杭州电机有哪些专家大咖莅临?

    9月22日,2023(杭州)电机智造与创新应用峰会以“创‘芯’驱动•智能应用”为主题,在杭州钱塘皇冠假日酒店重磅举行,演讲嘉宾提前剧透! 2023年(杭州)电机智造与创新应用峰会,即将隆重登场
    的头像 发表于 09-07 15:14 334次阅读
    <b class='flag-5'>演讲</b>嘉宾官宣!杭州电机有哪些<b class='flag-5'>专家</b>大咖莅临?

    关于HarmonyOS元服务的主题演讲与合作签约

    一、感言 坚持中,总会有很多意想不到的收获。 前几次参与HDC时更多的是观众、开发者、专家的身份,以参观、学习、交流为主。 通过几年的努力,和HarmonyOS功能成长,在2023年的HDC大会
    发表于 09-05 10:23

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1701次阅读
    <b class='flag-5'>vivado</b>软件和modelsim软件的安装方法

    如何实现基于FPGA Vivado的74系列IP封装呢?

    双击桌面图标打开Vivado 2017.2,或者选择开始>所有程序>Xilinx Design Tools> Vivado 2017.2>Vivado 2017.2;
    发表于 07-30 09:39 444次阅读
    如何实现基于FPGA <b class='flag-5'>Vivado</b>的74<b class='flag-5'>系列</b>IP封装呢?

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装Modelsim软件。
    的头像 发表于 07-24 09:04 1990次阅读
    <b class='flag-5'>Vivado</b>调用Modelsim仿真

    vivado仿真流程

    vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。
    的头像 发表于 07-18 09:06 2526次阅读
    <b class='flag-5'>vivado</b>仿真流程

    如何在Vivado中添加时序约束呢?

    今天介绍一下,如何在Vivado中添加时序约束,Vivado添加约束的方法有3种:xdc文件、时序约束向导(Constraints Wizard)、时序约束编辑器(Edit Timing Constraints )
    的头像 发表于 06-26 15:21 2216次阅读
    如何在<b class='flag-5'>Vivado</b>中添加时序约束呢?

    Vivado布线和生成bit参数设置

    本文主要介绍Vivado布线参数设置,基本设置方式和vivado综合参数设置基本一致,将详细说明如何设置布线参数以优化FPGA设计的性能,以及如何设置Vivado压缩BIT文件。
    的头像 发表于 05-16 16:40 3234次阅读
    <b class='flag-5'>Vivado</b>布线和生成bit参数设置

    Vivado中实现ECO功能

    关于 Tcl 在 Vivado中的应用文章从 Tcl 的基本语法和在 Vivado 中的 应用展开,继上篇《用 Tcl 定制 Vivado 设计实现流程》介绍了如何扩展甚 至是定制 FPGA
    的头像 发表于 05-05 15:34 1794次阅读
    在<b class='flag-5'>Vivado</b>中实现ECO功能

    用TCL定制Vivado设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程。
    的头像 发表于 05-05 09:44 725次阅读
    用TCL定制<b class='flag-5'>Vivado</b>设计实现流程

    Vivado使用进阶:读懂用好Timing Report

    《XDC 约束技巧》系列中讨论了XDC 约束的设置方法、约束思路和一些容易混淆的地方。我们提到过约束是为了设计服务,写入 Vivado中 的 XDC 实际上就是用户设定的目标,Vivado
    的头像 发表于 05-04 11:20 2728次阅读
    <b class='flag-5'>Vivado</b>使用进阶:读懂用好Timing Report