0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

推动IC设计革命的七大EDA技术工具

模拟混合信号设计验证 来源:YXQ 2019-06-13 16:14 次阅读

说到集成电路就没法不说EDA工具。时至今日,尽管所用的语言和工具仍然不断在发展,但是通过采用类似编程语言的硬件描述语言来设计、验证电路预期行为,利用工具软件综合得到低抽象级门级设计并进一步完成物理设计的途径,仍然是数字集成电路设计的基础。对模拟/混合信号电路设计而言,随着工艺节点的推进,设计的规模在不断增大,而留给设计师的设计余量在逐渐减小,对仿真和验证工具在容量、速度和精度的要求越来越高。在没有EDA工具之前,搞电路要靠人手工,对于大规模集成电路有上亿晶体管的设计用手工简直是不可为的。

随着IC不断向高集成度、高速度、低功耗、高性能发展,没有高可靠性的计算机辅助设计手段,完成设计是不可能的。可以说有了EDA工具,才有了超大规模集成电路设计的可能。

EDA工具真正起步于1980年代,1983年诞生了第一个工作站平台apollo;近40年的发展,EDA工具几乎涵盖了集成电路的方方面面,从硬件描述语言(Hardware Description Language,HDL)到逻辑仿真工具(Logic Simulation),从逻辑综合(Logic Synthesis)到自动布局布线系统(Auto Place & Route);从物理设计规则检查(design rule check/DRC & electrical rule check/ERC)到电路图版图比对(Layout versus Schematic,LVS)到芯片的制造测试。

笔者通过和众多工程师沟通交流,试着从众多EDA技术及工具中选取七种富有创造性的类别加以介绍,这些技术或工具确实大大促进了集成电路的发展。

一、GDS & GDS II

GDS是由Calma研发完成,用于集成电路版图的数据转换,得以制作光刻掩模版。

Calma成立于1964年,其创始人是Calvin Hefte、Ron Cone和Jim Lambert,曾经和Applicon、ComputerVision一起成为称为三大CAD公司,1988年被Valid Logic Systems收购。

Calma于1971年为掩模布局数据(mask layout data)开发了一个二进制文件格式GDS(Graphic Data System),1978年进行了重大修订,被称为GDS-II。到目前为止,半导体行业仍然使用GDS-II作为IC设计“tape-outs”的标准版图数据格式。

集成电路版图(integrated circuit layout)是集成电路设计中最底层步骤物理设计的成果,物理设计通过布局、布线技术将逻辑综合的成果(门级网表)转换成物理版图文件,这个文件包含了各个硬件单元在芯片上的形状、面积和位置信息。版图设计必须遵守制造工艺的相关设计规则要求,并满足时序、面积、功耗等的约束。集成电路版图完成后,整个集成电路设计流程基本结束。随后,半导体加工厂会接收版图文件,利用半导体器件制造设备和技术,来制造实际的硬件芯片。(简单说就是,GDS文件通过二进制编码来表示平面的几何图形、文字,以及图形的图层和属性等数据。每个图层都可以进行独立命名,且每个图层的都属性都可以进行特殊标定,是一种功能较强的数据格式,由于适合在电子束曝光时,对各个区域的曝光计量进行分别设定。)

现在的版图设计是借助电子设计自动化工具来完成的。而在1960年代,设计工程师用铅笔和网格纸手动绘建芯片布局,然后再将这些图形数字化,以创建IC布局的电子数据库,然后通过软件将其转换为用于制造光掩模的图案生成器格式。

Calma一直在用专门的计算机和软件取代容易出错的手动绘图过程,从而使该过程自动化。Calma可谓催生了EDA时代,用于IC和印刷电路板的布局设计,从而促成了Apollo和Sun Microsystems工作站的采用,以及包括现在EDA三巨头在内众多EDA公司创建。

二、SPICE

SPICE(Simulation program with integrated circuit emphasis)是一种功能强大的通用电路级模拟仿真器,主要用于集成电路的电路分析,SPICE的网表格式变成了通常模拟电路和晶体管级电路描述的标准,由美国加州大学伯克利分校电机工程与计算机科学系(UC Berkeley, Dept. EECS)开发完成,其最初的名字是CANCER(Computer Analysis of Nonlinear Circuits, Excluding Radiation)。

第一版于1971年由Laurence Nagel等七名研究生在Ron Rohrer教授指导下开发完成,1975年在Don Peterson教授指导下推出正式实用化版本,1988年被定为美国国家工业标准,主要用于模拟电路、数模混合电路、电源电路等电子系统的设计和仿真。

自SPICE问世以来,其版本的更新持续不断,有SPICE2、SPICE2G6、SPICE3、SPICE3f5等多个版本,新版本主要在电路输入、图形化、数据结构和执行效率上有所增强,业界普遍认为SPICE2G6是最为成功和有效的,以后的版本仅仅是局部的变动,现在常用的各类SPICE工具都是基于公开发表的SPICE 2G6版的源代码。

从1970年代初到如今近五十年的时间里,SPICE从只能仿真十几个元器件规模到今天可以仿真上千万个元器件规模的电路,取得了非常惊人的成就。SPICE是一个解非线性常微分方程的工具,但由于要改变SPICE的基石很难,所以1990年代中期的SPICE没有太大的变化。

SPICE的基石包括:改进的节点分析法(Modified Nodal Analysis)、稀疏矩阵解法(Sparse Matrix Solver),牛顿-拉夫逊迭代(Newton-Raphson Iteration)、隐性数值积分(Implicit Numerical Integration)、动态步长的瞬态分析(Dynamic Time Step Control)、局部截断误差(Local Truncation Error)等等。

目前主流的商用SPICE包括Synopsys HSPICE & FineSim SPICE、Cadence Spectre& APS、Mentor ELDO& AFS、Silvaco Smart-Spice,国产厂商华大九天(Empyrean)的ALPS、概伦电子(ProPlus)的NanoSpice&NanoSpice Giga具有一定竞争力。

当然,除了由EDA公司提供的商业SPICE外,还有就是一些老牌的半导体公司自行在内部开发的SPICE,不用来销售,包括IBM、Intel、TI、ADI、STM和Infenion等公司,这些半导体公司的SPICE基本都会有自己的器件模型。据悉,TI就把内部的SPICE现在也拿来开源了。

SPICE的优点是其精确性,但是缺点也很明显,对于可以仿真的规模和速度有一定的限制,一般用于小规模和高精度的仿真应用。因此在此基础上发展了另一类晶体管级仿真器FastSPICE,典型如Synopsys的NanoSim、HSIM(2004年收购Nassda)、FineSim Pro(2011年收购Magma)和CustomSim (XA),Cadence的UltraSim(2003年收购Celestry,这里要提一下,UltraSim最早由BTA开发,BTA为概伦电子团队于1993年与胡正明教授所创建,BTA于2001年与戴伟民博士的Ultima公司合并成Celestry)和Spectre XPS,Mentor的ADiT(2006年收购EverCAD),概伦电子的NanoSpice Giga等,用于处理大规模电路仿真和全芯片验证。FastSPICE采用了大量的加速仿真的技术,例如Table Model和Event Driven的电路partition等,并对电路进行简化,在牺牲一定仿真精度的情况下处理大规模电路仿真的需求,如定制数字电路、存储器、SOC全芯片仿真和验证等。在实际的应用中,SPICE往往用于高精度模拟电路和小模块的定制数字电路和存储器模块,FastSPICE往往用于大规模后仿电路、大模块定制数字电路、存储器和全芯片SOC的仿真和验证等。

值得一提的是,国产EDA公司在SPICE方面取得了重大进步。

2016年概伦电子的NanoSpice Giga提出了一个全新的概念GigaSpice,以SPICE的引擎和精度去取代FastSPICE的应用以避免FastSPICE造成的精度缺失,并提供比FastSPICE更快的速度,在业界领先的超大规模存储器设计和大规模后仿模拟电路中得到了国际市场认可和应用。

华大九天在2018年正式推出业界首款异构并行仿真系统Empyrean ALPS-GT™,基于大算力异构平台和独创的异构智能矩阵求解技术SMS-GT, 极大的提升了电路仿真的性能,保持100% True SPICE精度,性能相比CPU架构的SPICE提升了10+倍。ALPS-GT的推出,解决了FastSPICE及引入fastspice技术的spice精度不够, 而传统spice及并行spice性能和容量又不够的问题。

最后有必要说说开源的NGSPICE。自从上世纪九十年代后,有一批SPICE的爱好者及高校把SPICE3f5接过来,并整合了其他几个开源软件,包括xspice、cider、gss、adms等,建成了NGSPICE。NGSPICE在缓慢的进化着,但比起商业SPICE进化的速度慢多了。目前很多学术研究在使用。

三、半导体器件模型(SPICE Model)

半导体器件模型和用户工艺线紧密联系。在芯片设计之前,相应的器件模型参数已由晶圆代工(Foundry)公司通过PDK中的模型库提供给芯片设计人员。

MOSFET模型发展至今,已经有50多个模型。下面简单介绍几个代表性模型:

1、SPICE器件模型

MOS1模型(SPICE Level 1):是UC Berkeley SPICE最早的MOSFET模型,只考虑了MOSFET的基本性能,适用于低精度的长沟道MOSFET。

MOS2模型(SPICE Level 2):考虑了MOSFET的二级效应和部分短沟道效应,适用于知短沟道器件,对于沟道长度大于2μm的器件所得模拟结果很精确。

MOS3模型(SPICE Level 3):小尺寸器件的半经验模型,更加精确地考虑了MOSFET的二级效应,广泛应用于数字电路设计中,适用于沟道长度小于5μm的情况。

2、BSIM器件模型

BSIM(Berkeley Short-channel IGFET Model)模型是UC Berkeley胡正明教授团队专门为短沟道MOSFET开发的模型。

BSIM1模型(SPICE Level 4),适用于沟道长度约为1μm、栅氧化层厚度为15nm的器件。

BSIM2模型(对应HSPICE Level 39),是在BSIM1模型开发的深亚微米模型,适用于沟道长度可短至0.25μm、栅氧化层厚度为0.36nm的器件。

BSIM3模型是基于准二维分析的物理模型,着重解决器件工作的物理机制,考虑器件尺寸和工艺参数的影响,力求使每个模型与器件特性的关系可预测,并设法减少模型参数的个数。

BSIM4模型:在BSIM3模型基础上,适用于深亚微米IC,同时针对射频电路设计进行了改进。

BSIM家族中最成功的代表是BSIM3v3(对应HSPICE Level 49)和BSIM4v5(对应HSPICE Level 54)。从此以后,再也没有其他的模型能出其右。它们俩也是工业界的MOSFET器件模型标准。BSIM3跨越了亚微米的工艺(0.3μm至0.13μm,大致从1993年到2000年),BSIM4跨越了深亚微米到纳米的工艺(90nm至20nm,大致从2002年到2012年)。时至今日,BSIM4仍是业界使用最广泛的集成电路模型。

3、FinFET器件模型

FinFET器件模型BSIM-CMG,也是由UC Berkeley胡正明教授BSIM团队专门针对20纳米以下三维晶体管MOSFET开发的模型,也迅速成为了国际标准模型。

半导体器件模型是SPICE的基础之一。现代的SPICE仿真需要很多器件模型,包括无源元件(电阻电容、电感等),以及有源器件(二极管、双极管等)。但花样最多、变化最频繁、复杂度最高的当属MOSFET器件模型。这主要是因为从1970/1980年代以后,MOSFET的工艺因它的低功耗、高集成度而变成了主流。那时候还是个半导体工业百花争鸣的年代。很多半导体公司如雨后春笋般的冒出来,几乎每一家公司都在工艺及器件上有点自己的绝活,所以当时大多是IDM公司,造成了MOSFET的模型也层出不穷。谁家的SPICE支持的MOSFET模型越多,谁的SPICE用户群就越大。

而当时,用户群最大的SPICE当属由孪生兄弟Shawn Hailey和Kim Hailey于1974年创办的Meta-Software公司的HSPICE,HSPICE拥有独创的MOSFET器件模型Level 28,从1978年成立到1996年的18年间,一共销售了超过1.1万套,年成长率达到了25-30%。Level 28是基于BISM1开发的MOSFET器件模型,适用于模拟电路设计。这里要提一下,1996年Meta-Software被Avant!收购,到2001年,Avant!又被Synopsys收购。

虽然Level 28没有成为行业标准,但是成为推动BSIM成为行业标准的基础。在当前属于Si2下的模型标准化组织(CMC)出现后,BSIM3v3迅速成为了集成电路全球第一个工业标准模型,业界所有的Foundry、IDM、fabless和EDA共同支持,成为了国际集成电路飞速发展的主要推动力之一。后续胡正明教授领导的BSIM团队相继推出BSIM4、BSIMSOI、BSIM6 (BSIM-BULK)、BSIM-CMG、BSIM-IMG等国际标准模型,二十年来全球集成电路设计的绝大多数都是基于BSIM系列模型,对国际集成电路发展产生了至关重要的作用。

值得一提的是,在EDA细分市场器件模型工具领域,概伦电子是该领域的领导厂商,其解决方案源自1993年胡正明教授团队推出BSIM3v3模型标准后领导成立的BTA公司的BSIMPro/BSIMProPlus系列工具,二十多年来至今仍是所有主流代工厂的标准建模工具。当然另一国产EDA公司博达微在器件模型工具也占有一席之地。

四、硬件描述语言(HDL)

VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)和Verilog HDL是世界上最流行的两种硬件描述语言(Hardware Description Language,HDL),以文本形式来描述数字系统硬件的结构和行为的语言,既可以表示逻辑电路图、逻辑表达式,也可以表示数字逻辑系统所完成的逻辑功能,目的是为了把电子电路的设计意义以文件的形式保存,以方便他人能轻易地了解电路的设计意义。

两者都是在20世纪80年代中期开发出来的。VHDL和Verilog作为IEEE的工业标准硬件描述语言,得到众多EDA公司支持。

1、VHDL

VHDL起源于1980年代美国国防部的VHSIC计划(Very-High-Speed Integrated Circuit),VHDL中的“V”代表VHSIC。

1981年6月成立VHDL小组。1983年由IBM、TI、Intermetric联合成立开发小组,1985年推出第一版。

1987年12月IEEE公布了标准版本IEEE STD 1076/1987(简称87版)。自IEEE-1076(简称87版)之后,各EDA公司相继推出自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,形成了新的标准版本IEEE STD 1076-1993(简称93版)。

1996年美国国防部规定其为官方ASIC设计语言。

2、Verilog HDL

Verilog HDL语法与C语言类似,但作为硬件描述语言,与C语言有着本质的不同。Verilog HDL由Automated Integrated Design Systems的Phil Moorby创建于1983年,并发布Verilog仿真器。1985年Automated Integrated Design Systems更名Gateway Design Automation(GDA)。1987年Synopsys开始使用Verilog HDL作为其综合工具的输入。

1989年Cadence收购GDA,拥有Verilog HDL的独家专版,于1990年正式发布Verilog HDL,并于同年成立OVI(Open Verilog International),面向公有领域开放,推进Verilog HDL发展和标准的制定,1993年获得几乎所有ASIC厂商支持,认为Verilog-XL是最好的仿真器。

1995年Cadence放弃独家拥有的Verilog HDL专利,得以成为IEEE 1364-1995标准(简称Verilog-95)。

1999年模拟和数字都适用的Verilog标准公开发表。

2001年,Verilog HDL进行了修正和扩展,修改后的内容后来再次被提交给IEEE,成为IEEE 1364-2001标准(简称Verilog-2001)。Verilog-2001是对Verilog-95的一个重大改进版本,它具备一些新的实用功能,例如敏感列表、多维数组、生成语句块、命名端口连接等。目前,Verilog-2001是Verilog的最主流版本,被大多数商业电子设计自动化软件包支持。

2005年,Verilog HDL再次进行了更新,即IEEE 1364-2005标准(简称Verilog-2005)。该版本只是对Verilog-2001的细微修正。Verilog-2005包括一个相对独立的新部分(Verilog-AMS)。这个扩展使得传统的Verilog HDL可以对集成的模拟和混合信号系统进行建模。

后续发展

作为两大标准的硬件描述语言,VHDL和Verilog HDL的互操作性非常重要,两大国际组织VHDL International(VI)、Open Verilog International(OVI)曾努力协调VHDL和Verilog HDL的互操作问题。2000年,VHDL International(VI)和Open Verilog International(OVI)这两个拥有丰富标准制定程序经验的组织合并成立了Accellera。Accellera成立后,积极推动硬件描述语言的发展。

随着芯片的功能不断地扩大,Verilog不足以应对日益复杂的芯片设计和验证,于是SystemVerilog被发明了。

创建了Verilog HDL的Phil Moorby在离开公司后,和Peter Flake等硬件描述语言专家在Co-Design Automation公司进行合作,对Verilog HDL进行扩展研究,在1999年发布了superlog系统设计语言,同时发布了SystemSim和SystemEX两个工具,一个用于系统开发,一个用于高级验证。2001年Co-Design Automation公司向Accellera发布了superlog扩展综合子集ESS。2002年Synopsys收购了Co-Design Automation,将superlog及其验证子集捐赠给了Accellera,这样就分别有了SystemVerilog 3.0、SystemVerilog 3.1、SystemVerilog 3.1a。使得工程师可以在Verilog语言的RTL级综合子集的基础上,提供更多级别的硬件综合抽象级,为各种系统级的EDA软件工具所利用。

之后,Accellera和IEEE共同推出了SystemVerilog的标准IEEE1800-2005的标准。

2009年,IEEE 1364-2005和IEEE 1800-2005两个部分合并为IEEE 1800-2009,成为了一个新的、统一的SystemVerilog硬件描述验证语言。从而开启了一个新的时代。目前最新版本为IEEE 1800-2017。

System Verilog是Verilog语言的拓展和延伸。Verilog适合系统级,算法级,寄存器级,逻辑级,门级,电路开关级设计;而System Verilog更适合于可重用的可综合IP和可重用的验证用IP设计,以及特大型基于IP的系统级设计和验证。System Verilog的一个显著特点是能够和芯片验证方法学结合在一起,因而可以大大增强模块复用性、提高芯片开发效率,缩短开发周期。芯片验证方法学中比较著名的有:VMM、OVM、AVM和UVM等。

五、逻辑综合工具

逻辑综合(logical synthesis)的行为是将数字电路的寄存器传输级描述(RTL,Register Transfer Level)的Verilog HDL/VHDL文件“综合”为描述设计结构的门级(Gate-Level Netlist)Verilog HDL/VHDL文件。将RTL和根据设计需求编写的约束文件作为输入综合出门级网表,在性能、面积和功耗之间进行trade-offs。后端Place&Route工具(ICC、Innovus)将门级网表作为输入生成GDSII文件用于芯片制造

简单说,逻辑综合=翻译(translation)+逻辑优化(logic optimization+门映射(gate mapping);其实就是首先把描述RTL级的HDL语言翻译成GTECH,然后再优化和映射成工艺相关的门级网表。

逻辑综合工具主要有Synopsys的Design Compiler、Cadence的Genus、Mentor的Leonardo。

Synopsys的Design Compiler是最精典的逻辑综合工具。自1987年以来在全球范围内使用,全球几乎所有的芯片供应商、IP供应商和库供应商都支持DC,是目前90%以上ASIC设计人员广泛使用的工具。大幅提高ASIC设计效率的自动化始于Synopsys的Design Compiler,在这一创新的逻辑综合工具推出并得到应用之前,所有IC设计都处于门级或晶体管级别。

Design Compiler简称DC,是Synopsys的逻辑综合(logical synthesis)工具,它可以根据设计描述(design description)和设计约束(design constraints)自动综合出一个优化了的门级电路,也就是说DC可以将HDL所做的RTL级描述自动转换成优化的门级网表,可以隐藏数字设计人员的设计细节。

由于早期的半导体工艺尺寸较大,连线延时占比小,无需考虑物理位置信息,最初的Design Compiler完成的是纯粹的逻辑综合。

随着工艺技术越来越先进,工艺特征尺寸越来越小,连线的延时难以忽略,同时需要较为精准的计算,而该延时与电路中各单元的物理位置密切相关,因而Synopsys推出了考虑物理信息并可生成物理指导的新版Design Compiler Graphical综合工具,它不仅可以更精准地估算连线延时,还可以预测布线拥堵情况并进行相应优化。

Synopsys的最新版Design Compiler,即Design Compiler NXT,可提供基于云计算的分布式综合(synthesis)技术,相比以往版本显著加快了运行速度。并且通过平台化的通用库以及与布局布线工具IC Compiler II校准的RC寄生参数提取,实现在5nm以及更先进工艺节点下极为紧密的相关一致性。

六、静态时序分析

静态时序分析(Static Timing Analysis,STA)是对数字电路所有关注的时序路径进行提取,然后计算和预计信号在路径上的延迟是否存在违背时序约束的错误,主要是检查建立时间和保持时间是否满足要求。静态时序分析的特点是不依赖于测试激励,且可以穷举所有路径。

传统上,人们常常将工作时钟频率作为高性能的集成电路的特性之一。为了测试电路在指定速率下运行的能力,人们需要在设计过程中测量电路在不同工作阶段的延迟。此外,在不同的设计阶段(例如逻辑综合、布局、布线以及一些后续阶段)需要对时间优化程序内部进行延迟计算(Delay calculation)。尽管可以通过严格的SPICE电路仿真来进行此类时间测量,但是这种方法在实用中耗费大量时间。静态时序分析在电路时序快速、准确的测量中扮演了重要角色。静态时序分析能够更快速地完成任务,是因为它使用了简化的模型,而且它有限地考虑了信号之间的逻辑互动。

静态时序分析工具可以识别的时序故障要比仿真多得多,包括:建立/保持和恢复/移除检查(包括反向建立/保持);最小和最大跳变;时钟脉冲宽度和时钟畸变;门级时钟的瞬时脉冲检测;总线竞争与总线悬浮错误;不受约束的逻辑通道等。有一些静态时序工具还能计算经过导通晶体管、传输门和双向锁存的延时,并能够自动对关键路径、约束性冲突、异步时钟域和某些瓶颈逻辑进行识别与分类。

静态时序分析在最近几十年中,成为了相关设计领域中的主要技术方法。Synopsys的PrimeTime、Cadence的Pearl和Mentor的SST Velocity主要用于全芯片的IC设计;Altium的工具主要用于PCB设计中静态时序分析。各大FPGA厂商Intel(收购Altera)、Xilinx、Lattice、MircoSemi(收购Actel)的IDE均提供静态时序功能。

Synopsys的PrimeTime自推出以来,成为深受广大IC设计人员广泛使用的工具,在静态时序分析工具领域占有垄断地位。

PrimeTime简称PT,是Synopsys的静态时序分析软件,被用来分析大规模、同步、数字ASIC。PrimeTime的主要功能是对芯片进行静态时序分析,工作在电路设计的门级网表层次,可以和Synopsys公司的其它EDA软件非常好的结合在一起使用。

PrimeTime提供高准确度的延迟分析,以Spice的精度来计算单元和连线延迟,减少设计冗余并迅速的发现时序问题和减少ECO(Engineering Change Order)修复的时间;PrimeTime针对设计时序签核需要考虑不同操作模式、电压、温度和工艺角点的单独场景,提供了分布多场景分析(DMSA),简化了分析和管理这些场景的分析。

随着纳米级设计的工艺尺寸的缩小和时钟频率的提升,型号完整性效应如串扰延迟和噪声(或者毛刺)传递能够导致功能失效或者时序失效。PrimeTime SI是PrimeTime的信号完整性解决方案,在PrimeTime中加入准确的串扰延迟、噪声(毛刺)和电压降(IR)延迟分析,来应对90纳米及以下的信号完整性分析。

为了支持14/16纳米及以下工艺的设计特点,Synopsys推出了PrimeTime ADV,支持识别物理信息的ECO,避免ECO对当前版图的影响,加速时序收敛;提供功耗ECO修复,利用正向时序,寻找漏电流功耗降低机会;提供参数化片上变异(POCV)分析方法,尽可能的消除悲观的时序估计,加速时序和ECO收敛。

另外,在时序分析和优化领域,华大九天耕耘多年,在该领域具有领先的产品和技术。针对先进工艺物理效应对时序影响显著的特征,在业界第一个提出了physical-aware ECO的概念,其产品XTop在时序ECO领域处于市场领导者地位。另外,针对16/7nm以下先进工艺及低压设计时序偏差大,时序敏感性强,可靠性差的特点,华大九天发布的SPICE-accurate Timing Analysis工具XTime可有效解决静态时序分析无法解决的问题。

七、定制电路设计环境和版图设计工具

芯片设计从实现方法上可以分为全定制(Full Custom)、半定制(Semi-Custom)和基于FPGA设计。全定制设计方法是指基于晶体管级,所有器件 和互连版图都用手工生成的设计方法,适用于大批量生产、要求精度高、速度快、面积小、功耗低的芯片。

全定制设计方法是按照规定的功能和性能要求,先设计出满足功能的电路,然后用电路的布局和布线进行专门的优化设计,以达到芯片的最佳性能。

全定制设计的主要EDA工具有Cadence的Virtuoso、Synopsys的Custom Designer、Mentor 的Pyxi,以及华大九天的Aether。

Cadence的Virtuoso在全定制芯片(Full Custom)和AMS(Analog Mixed Signal)混合信号芯片/版图(Layout)工具市场上占据接近80%的市场份额。以至于各个主流芯片代工厂商(Foundry)基本上都专门为其开发PDK供给芯片设计客户使用。

Cadence的Virtuoso包括了前端到后端的全流程设计功能,与其他工具如多模仿真工具和物理验证工具等结合在一起使用构成了完整的定制芯片设计流程。

目前,最新版Virtuoso的最大改变是引进了ADE(Analog Design Environment模拟设计环境)全新的三个工具:ADE Explorer,ADE Assembler,和ADE Verifier,用来替换以前版本中的ADE-L,ADE-XL和ADE-GXL。

Virtuoso的ADE是模拟设计和SPICE仿真图形界面事实上的业界标准,而且新版将旧版ADE中分离的标称值/corners/sweeps/蒙特卡罗/参数对比等功能都整合在ADE Explorer中,ADE Verifier将项目层级管理和仿真纳入设计中, 以加大对芯片设计工程师的吸引力。

目前,Cadence针对先进节点工艺制程(20nm以下节点工艺)推出ICADV版本Virtuoso。其最新版本ICADV123和ICADVM181可以满足从16nm到5nm先进节点。

而Virtuoso RF将封装、PCB整合到一起,解决系统级的仿真问题,从系统的角度优化整个设计,不单单是单个芯片,或封装和PCB的设计。

Cadence的最新版Virtuoso在定制版图设计中,从设计规则驱动,到连接关系驱动,到仿真结果驱动,大大的提升版图设计的效率。

最后要特别提到的是,华大九天的Aether平台是目前全球第四套模拟设计平台工具,已被国内外数十家模拟设计公司采用,并于2018年被Foundry厂商TowerJazz列入参考设计流程。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • IC
    IC
    +关注

    关注

    35

    文章

    5528

    浏览量

    173130
  • EDA技术
    +关注

    关注

    12

    文章

    169

    浏览量

    36695

原文标题:推动IC设计革命的七大EDA技术工具

文章出处:【微信号:yaliDV,微信公众号:模拟混合信号设计验证】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    国内EDA工具AI技术应用现状及发展动态分析

    今年谈EDA工具融入AI已经不会再有人表达惊讶了,毕竟国际EDA巨头们都在持续做宣传。IIC Shanghai活动的不少EDA企业也在谈AI。
    发表于 04-12 10:43 83次阅读
    国内<b class='flag-5'>EDA</b><b class='flag-5'>工具</b>AI<b class='flag-5'>技术</b>应用现状及发展动态分析

    年度技术突破EDA公司!思尔芯凭先进解决方案荣获2024中国IC设计成就奖

    首家数字EDA企业,思尔芯凭借其完善的数字前端EDA解决方案,荣获了“2024中国IC设计成就奖之年度技术突破EDA公司”的殊荣,代表了行业
    的头像 发表于 03-30 08:22 141次阅读
    年度<b class='flag-5'>技术</b>突破<b class='flag-5'>EDA</b>公司!思尔芯凭先进解决方案荣获2024中国<b class='flag-5'>IC</b>设计成就奖

    芯片设计及使用的EDA工具介绍

    机遇总是与挑战并存,目前国内在高端EDA工具研发方面,面临着如Synopsys、Cadence和Mentor等国际EDA供应商的巨大挑战,即使是作为本土最大的EDA公司,华大九天目前也
    发表于 01-18 15:19 399次阅读
    芯片设计及使用的<b class='flag-5'>EDA</b><b class='flag-5'>工具</b>介绍

    国微芯EDA重磅发布多款自研数字EDA工具及软件系统!

    芯天成版图集成工具EsseDBScope,是基于国微芯EDA统一数据底座研发的标志性工具,本次推出的更新版本,新增了IP merge、LVL、Signal tracing、PG Find short等功能。
    发表于 11-16 10:00 201次阅读
    国微芯<b class='flag-5'>EDA</b>重磅发布多款自研数字<b class='flag-5'>EDA</b><b class='flag-5'>工具</b>及软件系统!

    全球EDA行业发展历程 主流EDA厂商有哪些?

    狭义的EDA一般指芯片设计环节所需的软件工具;广义的EDA则包括从芯片设计、制造到封装测试各环节所需的软件工具。其涵盖了电子设计、仿真、验证、制造全过程的所有
    发表于 08-17 11:09 762次阅读
    全球<b class='flag-5'>EDA</b>行业发展历程 主流<b class='flag-5'>EDA</b>厂商有哪些?

    eda技术与vhdl基础 eda的主要功能优点 现代EDA技术的特点有哪些

    EDA技术和VHDL是紧密相连的。在EDA设计中,VHDL通常用于描述数字电路的功能和行为,并通过逻辑分析器、仿真器等工具进行仿真、分析和验证。ED
    的头像 发表于 08-09 12:41 1157次阅读

    eda工具技术来源 eda技术的设计方法

    EDA工具技术来源主要包括描述统计学、可视化技术、探索性数据分析方法、数据挖掘技术,以及可交互性与用户界面设计。这些
    发表于 07-21 15:09 575次阅读

    eda是干什么的 常见EDA软件工具有哪些?

     通过EDA工具的自动化支持,电子工程师可以更快、更准确地设计和开发电子产品。EDA工具大大提高了电子设计数据处理的效率,同时也保证了设计的准确性和稳定性,让电子工程师能够更好地专注于
    发表于 07-12 14:17 1.7w次阅读

    ICDIA 2023: 思尔芯演讲分享汽车电子创新方案,丰富EDA工具推动国产替代

    2023年7月13日至14日,备受期待的第三届中国集成电路设计创新大会暨无锡IC应用博览会(ICDIA2023)即将在无锡精彩呈现。作为业内知名的数字前端EDA企业,思尔芯将受邀参加此次盛会,并将
    的头像 发表于 07-06 10:06 498次阅读
    ICDIA 2023: 思尔芯演讲分享汽车电子创新方案,丰富<b class='flag-5'>EDA</b><b class='flag-5'>工具</b><b class='flag-5'>推动</b>国产替代

    共建、共享开源EDA核心共性技术框架|2023开放原子全球开源峰会开源EDA分论坛成功举办

    ,以及关于EDA基础设施的思考。他表示,团队正在推动建设开源EDA基础设施,分解每个问题,打开EDA技术发展的黑盒子。未来,将结合项目,将
    发表于 06-16 13:45

    概伦电子与阿里云深化合作加速推动EDA上云

    概伦电子与阿里云深化合作加速推动EDA上云 EDA号称芯片之母现在也要上云了。根据概伦电子官微发布的消息显示,概伦电子一直致力于推进EDA上云,概伦电子将与阿里云持续深化合作,加速
    的头像 发表于 05-05 17:25 1707次阅读

    英诺达再发低功耗EDA工具,将持续在该领域发力

    " 英诺达EnFortius®凝锋低功耗系列EDA软件又新增一款门级功耗分析工具GPA,该工具可以快速精确地计算门级功耗,帮助IC设计师对芯片功耗进行优化。" (2023
    发表于 04-25 10:03 804次阅读
    英诺达再发低功耗<b class='flag-5'>EDA</b><b class='flag-5'>工具</b>,将持续在该领域发力

    eda技术的核心是仿真吗 EDA的四要素 EDA技术的作用

     EDA技术的核心并不是仿真,仿真只是EDA技术的一个重要环节。EDA技术的核心是利用计算机辅助
    发表于 04-24 18:22 1939次阅读

    华为联合国内EDA企业基本实现了14nm以上EDA工具国产化

    1 前言 大家好,我是硬件花园! 华为轮值董事长徐直军,在前些日子举行“突破乌江天险,实现战略突围”的软硬件开发工具誓师大会上表示,华为芯片设计EDA工具团队联合国内EDA企业,共同打
    的头像 发表于 04-20 03:00 5633次阅读

    EDA技术的核心 常见EDA软件工具有哪些?

    EDA技术的核心是将电子设计自动化,实现快速、准确、方便的电路设计和仿真,以提高电路设计的效率和可靠性。通过提高EDA技术的运用水平,可以缩短电路设计周期,降低设计成本,提高电路设计的
    发表于 04-19 15:38 9761次阅读