0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技成为首个获得三星EUV技术5LPE工艺认证的平台

新思科技 来源:yxw 2019-06-12 13:48 次阅读

新思科技近日宣布,三星(Samsung Electronics)认证了新思科技Fusion Design Platform™,用于三星采用EUV光刻技术的5纳米Low-Power Early(早期低功耗,简称“LPE”)工艺。人工智能(AI)增强型云就绪Fusion Design Platform提供前所未有的全流程设计实现质量和设计收敛速度,实现三星5LPE工艺技术提供的超高性能和低功耗,加速新一波半导体设计的开发,包括高性能计算(HPC)、汽车、5G和人工智能细分市场。

“7纳米产品的交付以及5纳米工艺开发的成功完成,证明了我们在基于EUV节点方面的能力。使用新思科技Fusion Design Platform,我们的共同客户将能够设计出最具竞争力的5LPE系统级芯片(SoC)产品,以满足超高性能和低功耗应用的需求。新思科技仍然是我们的首选厂商,在新节点开发和实现方面开展合作,因此我们的代工厂客户可以放心地在所有细分市场(包括汽车、人工智能、高性能计算和移动)提升他们的设计。”

——JY Choi

三星设计技术团队副总裁

三星代工厂使用64位Arm® Cortex®-A53和Cortex-A57处理器设计(基于Armv8架构)为Fusion Design Platform提供了认证。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    445

    文章

    47476

    浏览量

    407884
  • 三星电子
    +关注

    关注

    34

    文章

    15583

    浏览量

    180046
  • 新思科技
    +关注

    关注

    5

    文章

    713

    浏览量

    50040

原文标题:新思科技Fusion Design Platform成为首个获得三星EUV技术5LPE工艺认证的平台

文章出处:【微信号:Synopsys_CN,微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    思科技携手英特尔加速Intel 18A工艺下高性能芯片设计

    思科技数字和模拟 EDA 流程经过认证和优化,针对Intel 18A工艺实现功耗、性能和面积目标
    的头像 发表于 03-05 17:23 249次阅读

    思科技与英特尔深化合作,以新思科技IP和经Intel 18A工艺认证的EDA流程加速先进芯片设计

     芯片制造商与EDA解决方案和广泛的IP组合紧密合作, 能够提升产品性能并加快上市时间 摘要: 新思科技数字和模拟EDA流程经过认证和优化,针对Intel 18A工艺实现功耗、性能和面积目标
    发表于 03-05 10:16 93次阅读

    导远IMU5104成为首个获得ISO 26262功能安全认证的车载IMU模组产品

    12月27日,导远电子获得DEKRA德凯颁发,国内首张高精度定位IMU模组ISO 26262 ASIL B功能安全产品认证证书,标志着导远IMU5104成为首个获得ISO 26262功
    的头像 发表于 12-27 17:07 305次阅读

    三星电子在 EUV 曝光技术取得重大进展

    三星电子行业资讯
    深圳市浮思特科技有限公司
    发布于 :2023年12月05日 17:16:29

    三星D1a nm LPDDR5X器件的EUV光刻工艺

    三星D1a nm LPDDR5X器件的EUV光刻工艺
    的头像 发表于 11-23 18:13 596次阅读
    <b class='flag-5'>三星</b>D1a nm LPDDR5X器件的<b class='flag-5'>EUV</b>光刻<b class='flag-5'>工艺</b>

    Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8nm LPP 工艺技术认证

    Samsung Foundry 的 8nm Low Power Plus(LPP)先进制程工艺认证。 EMX Solver 是市面上首个获得认证
    的头像 发表于 11-15 15:55 370次阅读
    Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8nm LPP <b class='flag-5'>工艺技术</b><b class='flag-5'>认证</b>

    思科技携手台积公司加速N2工艺下的SoC创新

    思科技近日宣布,其数字和定制/模拟设计流程已通过台积公司N2工艺技术认证,能够帮助采用先进工艺节点的SoC实现更快、更高质量的交付。新思科
    的头像 发表于 10-24 16:42 479次阅读

    2023年10月21日芯片价格信息差《三星内存条》#采购#华强北#内存#集成电路#三星内存条#

    内存三星
    深圳市石芯电子有限公司
    发布于 :2023年10月21日 11:14:12

    #美国 #三星 美国彻底放弃卡脖子吗?美国同意三星电子向中国工厂提供设备!

    三星电子
    深圳市浮思特科技有限公司
    发布于 :2023年10月11日 13:47:16

    思科技3DIC Compiler获得三星多裸晶芯集成工艺流程的认证

    Compiler是统一的多裸晶芯片封装探索、协同设计和分析的平台,已经获得三星多裸晶芯集成工艺流程的认证。 全面和可扩展的新
    的头像 发表于 09-14 09:38 847次阅读

    两大IP扩大IP合作,新思科技携手三星加速新兴领域复杂SoC设计

    存储器、TCAM和GPIO,可以在各先进节点上提供行业领先的功耗、性能和面积(PPA) 新思科技车规级IP集成到三星工艺中,有助于确保ADAS、动力总成和雷达SoC的长期运行并提高可靠性
    的头像 发表于 07-26 17:40 262次阅读

    思科技与三星扩大IP合作,加速新兴领域先进SoC设计

    面向三星8LPU、SF5 (A)、SF4 (A)和SF3工艺的新思科技接口和基础IP,加速先进SoC设计的成功之路 摘要: 新思科技接口IP适用于USB、PCI Express、112
    发表于 06-30 13:40 348次阅读

    芯驰车规MCU荣获国密二级认证

    近日,芯驰科技高性能高可靠车规MCU E3获得由国家密码管理局(以下简称“国密局”)商用密码检测中心认证的《商用密码产品认证证书》。芯驰科技成为国内
    的头像 发表于 06-20 11:43 493次阅读

    三星电机提供车规级mlcc中的4种主要解决方案_贞光科技代理品牌# mlcc

    三星电机
    贞光科技
    发布于 :2023年05月30日 14:30:31