0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于Verilog实现2ASK的调制

电子工程师 来源:fqj 2019-04-29 16:09 次阅读

为什么要进行调制?

在进行无线通信时,基带产生的信号需要通过天线发送出去,需要满足一个条件,即欲发射信号的波长与天线的尺寸可比拟(通常认为天线尺寸应大于波长的十分之一),这个信号才能通过天线发射出去。

有一个音频信号的频率范围为20Hz~20KHz,则其最小波长为

基于Verilog实现2ASK的调制

显然,这么长的天线是不现实的,所以需要采取的方法是将基带信号的频率提升到一个较高的频率,这个过程就叫调制,又叫“频谱搬移”。

基于Verilog实现2ASK的调制

模拟调制

调制分为幅度调制、频率调制、相位调制,其中后面这两者为统称角调制。

对应的英文也就是AM(Amplitude Modulation)、FM(Frequency)、PM(Phase)。AM、FM看起来很熟悉,收音机上都见过。

调制还需要一个载波,载波通常是正弦信号,让载波的某个参量(或几个)随调制信号(原始信号)的变化而变化的过程成为调制。本篇先只介绍AM,即幅度调制。

调制也叫频谱搬移,载波一般是频率较高的正弦信号,类似于A地是装载货物,把货物通过一个运输工具从A地到B地,B地是卸载货物。装载可以理解为调制,运输工具理解为载波,卸载理解为解调。这样的例子不够严谨但是可以帮助理解。

调制

基于Verilog实现2ASK的调制

f(t)为原始信号,coswt为载波,Sm(t)为已调信号

基于Verilog实现2ASK的调制

上述方法为调幅

解调

通常用相干解调法

基于Verilog实现2ASK的调制

基于Verilog实现2ASK的调制

数字调制

利用模拟调制来理解调制的原理,目前主要应用都是数字调制。

使得载波的某个分量随数字基带信号的变化而变化的过程或方式称为数字调制。

幅度调制对应幅移键控(ASK), Amplitude Shift Keying

频率调制对应频移键控(FSK), Frequency Shift Keying

相位调制对应相移键控(PSK), Phase Shift Keying

本文要设计的是基于FPGA的2ASK,即二进制幅移键控,BASK。

利用二进制信号0和1来控制载波的幅度,载波在调制信号0或1的控制下或通或断。OOK即通断键控(On Off Keying)

基于Verilog实现2ASK的调制

MATLAB实现

clear allclose all N=2^20; dt=0.001; %ms df=1/(N*dt); %KHz T=N*dt; %截短时间 Bs=N*df/2; %系统带宽 t=linspace(-T/2,T/2,N); %时域横坐标 f=linspace(-Bs,Bs,N)+eps; %频域横坐标 fm=1; %模拟基带信号频率为1kHz fc=6; %余弦载波信号频率为6kHz mt=cos(2*pi*fm*t); %模拟基带信号 m(mt>0)=1; %发送比特m(mt<0)=0;c=cos(2*pi*fc*t); %余弦载波信号 s=m.*c; %ASK已调信号 点乘表示两个矩阵对应位置元素相乘subplot(2,1,1) plot(t,m,'LineWidth',1.2)title('输入信号');axis([-1,+1,-0.2,1.2*max(m)]) xlabel('t (ms)')ylabel('s(t) (V)') subplot(2,1,2) plot(t,s,'LineWidth',1.2) title('2ASK调制后波形');axis([-1,+1,1.2*min(c),1.2*max(c)]) xlabel('t (ms)')ylabel('s(t) (V)')

‍上述MATLAB涉及到的部分陌生函数,下面链接有MathWorks官网详解

基于Verilog实现2ASK的调制

MATLAB 运算符和特殊字符

MATLAB axis函数

MATLAB linspace函数

Verilog实现

基于Verilog实现2ASK的调制

本设计调用了Vivado自带的DDS Compile IP Core,生成了一个0.625MHz的余弦信号,正弦和余弦其实在工程上貌似都叫正弦,二者只是相位不同而已。将基带信号与载波相乘即可得到调制信号,对于数字调制而言就是用键控代替相乘,所以用一个选择器来进行通断键控操作。

由拨码开关输入8bit的数据,转为串行信号模拟基带信号输入,发送bit由低到高。最后通过一个二选一选择器数据ASK调制波形。

基于Verilog实现2ASK的调制

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 无线通信
    +关注

    关注

    56

    文章

    4191

    浏览量

    142284
  • Verilog
    +关注

    关注

    28

    文章

    1325

    浏览量

    109293
  • 2ASK
    +关注

    关注

    0

    文章

    3

    浏览量

    8388

原文标题:基于Verilog实现2ASK调制

文章出处:【微信号:xiaojiaoyafpga,微信公众号:电子森林】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    数字调制ASK、FSK、PSK)例程分享

    数字调制ASK、FSK、PSK)例程分享: 2ASK(二进制幅移键控)又称OOK 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22
    的头像 发表于 09-22 18:48 4198次阅读
    数字<b class='flag-5'>调制</b>(<b class='flag-5'>ASK</b>、FSK、PSK)例程分享

    振幅键控 2ASK

    用labview怎样能做出2ASK调制信号呢,用了好多种方法都以失败告终了
    发表于 03-31 10:48

    如何用LabVIEW生成二进制序列,用于仿真2ASK

    请哪位大神告诉我上图 子VI 的程序框图,感激不尽。如果有其它用LabVIEW生成二进制序列方法,并可用于仿真2ASK的,也可以告诉我~~
    发表于 05-08 07:24

    LabVIEW+2ASK信号调制

    本人正在弄一个项目,,正弦信号经过2ASK调制后由套索传输(即自行车刹车线),利用LabVIEW和数据采集卡对其进行采集,求大神如何对其解调并抽样判决(编码)?其判决门限如何进行调制
    发表于 03-29 23:23

    2ASK调制解调电路。。

    2ASK调制解调电路。。大神帮我 。实物制作啊 !!
    发表于 09-16 23:42

    求高手帮忙写下VerilogASK调制解调的Testbench文件

    //基于verilog HDL描述语言,对基带信号进行ASK振幅调制 module ASK_modulator(clk,start,x,y); input clk;//系统时钟 in
    发表于 02-09 23:05

    求问使用LABVIEW实现2ASK调制解调的程序框图

    自己做的2ASK调制信号只会在某一个频率之后才会出来信号,解调算法还不会,有没有大神帮助,谢谢
    发表于 04-10 16:14

    Labview中2ASK调制解调

    请问2ASK调制解调的基带信号和载波信号解调的具体步骤,谢谢
    发表于 04-13 20:53

    如何很好的实现ASK调制解调?

    怎样很好的实现ASK调制解调
    发表于 09-20 03:54

    数字通信系统中的ASK调制技术

    被称作键控信号,三种调制方式也对应称作振幅键控ASK、频移键控FSK和相移键控PSK。ASK调制ASK可分为二进制振幅
    发表于 09-28 09:16

    ASK调制原理振幅键控

    的概率为P,且相互独立。该二进制符号序列可表示为:式中 ,TB为码元持续时间;g(t)为持续时间为TB的基带脉冲波形。为简便起见,通常假设g(t)为高度为1,宽度等于TB的矩形脉冲;an是第n个符号的电平取值,若取:则相应的2ASK信号就是OOK信号。2ASK/OOK信号
    发表于 08-17 07:21

    使用AD8318测量模式对60MHz载波的2ASK信号进行检波,请问哪些因素对输出信号边沿影响?

    使用AD8318测量模式对 60MHz载波的2ASK信号进行检波,2ASK信号的脉冲宽度为500nS,重复周期为1uS, AD8318输出的包络信号脉宽大于800nS,信号边沿也远大于手册描述的10nS上升和下降时间。请问哪些因素对输出信号边沿影响。
    发表于 11-14 08:03

    2ASK调制及相干解调电路设计

    2ASK调制及相干解调电路设计,用matlab仿真的具体方法介绍
    发表于 06-17 16:00 0次下载

    如何使用Simulink实现2ASK调制系统的建模与仿真

    2ASK信号的调制解调在数字调制技术中占有重要地位。文中使用Simulink实现了高斯白噪声条件下2ASK
    发表于 01-02 08:00 32次下载
    如何使用Simulink<b class='flag-5'>实现</b><b class='flag-5'>2ASK</b><b class='flag-5'>调制</b>系统的建模与仿真

    使用FPGA实现2ASK和2FSK信号发生器

    论述了DDS的基本原理,给出了利用FPGA实现基于DDS的2ASK/2FSK信号发生器的设计方法,重点介绍了其原理和电路,最后给出了基于.FPGA设计的实验结果.
    发表于 03-24 09:12 19次下载