0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Quartus.II调用ModelSim仿真实例

工程师 来源:网络整理 作者:h1654155205.5246 2019-03-07 15:45 次阅读

Quartus.II调用ModelSim仿真实例

1、建立工程如下

Quartus.II调用ModelSim仿真实例

2.如果是第一次使用modelsim,需要建立Quartus ii12.0和modelsim的链接。Quartus II12.0-》Tools-》option-》EDA Tool options再选择自己的软件和对应的安装文件夹,如下

Quartus.II调用ModelSim仿真实例

3.建立测试文件(testbench)可以自己写,也可以用quartus II自己生成(生成的只是模版,功能需要自己添加),注:testbench的输出为要测试文件的输入,即测试文件是为要测试文件产生信号用的,因此testbench的input为reg变量,输出为wire变量,具体操纵如下

Quartus.II调用ModelSim仿真实例

4.打开测试文本,添加测试的信号功能(注:上步生成的文件后缀为.vt,在所建工程下的simulationmodelsim下面)。

Quartus.II调用ModelSim仿真实例

5.添加信号功能如下。

6.复制测试文件模块名(供下步添加testbench name用)添加测试文件。assignment-》setting-》

Quartus.II调用ModelSim仿真实例

7、开始仿真Tools-》run-》simulation tool

Quartus.II调用ModelSim仿真实例

8.结果(若没有自动运行,需按simulation和add wave)

程序

//and3 dataflow

module and3_df(x1,x2,x3,z1);

input x1,x2,x3;

output z1;

wire x1,x2,x3;

wire z1;

assign z1= x1 & x2 & x3;

endmodule

test bench

`timescale 1 ns/ 1 ps

module and3_df_vlg_tst();

// constants

// general purpose registers

//========================

reg x1;//inputs are reg for test bench

reg x2;

reg x3;

// wires

wire z1;//outputs are wire for test bench

//============================

// assign statements (if any)

and3_df i1 (

// port map - connection between master ports and signals/registers

.x1(x1),

.x2(x2),

.x3(x3),

.z1(z1)

);

initial

begin :APPlicable

// code that executes only once

// insert code here --》 begin

//=============================================

reg [3:0] invect; //test invect

for(invect =0;invect《8;invect=invect+1)

begin

{x1,x2,x3} = invect [3:0];

#10 $display (“x1 x2 x3 = %b ,z1 = %b”,

{x1,x2,x3},z1);

end

//==============================================

// --》 end

end

endmodule

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • quartus
    +关注

    关注

    16

    文章

    169

    浏览量

    74267
收藏 人收藏

    评论

    相关推荐

    最实用的Modelsim使用教程

    方法比较简单,因为Quartus II调用Modelsim ,所以除了生成自动生成了modelsim仿真
    发表于 03-19 16:40

    fpga仿真文件怎么写

    首先,你需要选择一个FPGA仿真软件,如ModelSim、Vivado、Quartus II等。这些软件都提供了强大的仿真功能,可以帮助你验
    的头像 发表于 03-15 14:00 180次阅读

    如何使用 ModelSim 进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程 序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合仿真,但 推荐
    发表于 01-14 09:47 0次下载

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 565次阅读
    怎样单独使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    Multisim仿真实例分享

    Multisim的百余个仿真实例,包含各类常见的电路
    发表于 09-26 06:10

    如何用Python实现Vivado和ModelSim仿真自动化?

    我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载t
    的头像 发表于 09-13 09:23 749次阅读
    如何用Python实现Vivado和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>自动化?

    20 Lesson20:BJ-EPM240学习板实验13——Quartus.II调用ModelSim仿真实例

    定时器函数代码状态机HT6221运算符
    充八万
    发布于 :2023年08月18日 22:40:16

    20 Lesson20:BJ-EPM240学习板实验13——Quartus.II调用ModelSim仿真实例

    定时器函数代码状态机HT6221运算符
    充八万
    发布于 :2023年08月18日 22:39:26

    20 Lesson20:BJ-EPM240学习板实验13——Quartus.II调用ModelSim仿真实例

    定时器函数代码状态机HT6221运算符
    充八万
    发布于 :2023年08月18日 22:38:35

    20 Lesson20:BJ-EPM240学习板实验13——Quartus.II调用ModelSim仿真实例

    fpga模块RAM函数代码
    充八万
    发布于 :2023年08月18日 22:37:45

    Quartus II没有对应芯片的原因及其解决办法

    Quartus II开发ALTERA的FPGA时,有时候会发现没有自己对应的芯片型号
    的头像 发表于 07-24 15:15 6417次阅读
    <b class='flag-5'>Quartus</b> <b class='flag-5'>II</b>没有对应芯片的原因及其解决办法

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并
    的头像 发表于 07-24 09:04 1954次阅读
    Vivado<b class='flag-5'>调用</b><b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

    大家好!今天给大家带来的是modelsim自动化仿真程序。我们在代码编写完成时,通常都需要先进行仿真,然后上板实验。但是如果我们每次仿真都要去新建一个工程,添加.v文件以及testbe
    的头像 发表于 07-19 10:10 1050次阅读
    <b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真实</b>验 利用脚本实现<b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>

    使用Vivado调用questasim仿真报错的原因及其解决办法

    有一天使用Vivado调用questasim(modelsim估计也一样),仿真报错
    的头像 发表于 05-08 17:12 1859次阅读

    基于FPGA的电机控制设计(PWM)

    分享下做的设计。 本设计是通过PWM对电机进行控制,可以进行速度控制,正反转控制等。 本程序可以在vivado或者quartus II下使用。 本代码有verilog和vhdl两个版本。 同时在modelsim和viva
    发表于 05-05 11:15 1次下载
    基于FPGA的电机控制设计(PWM)