0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

MAX.II的UFM模块使用实例

工程师 来源:网络整理 作者:h1654155205.5246 2019-03-06 18:20 次阅读

查看MAX II器件的Chip Planner:

其左下角这块黑色区域是用户不可用资源区,而在这片不可用区域里有一块绿色的方块是可用的。这块不可用的黑色区域叫做CFM block(配置Flash存储区),而那个绿色方块叫做UFM(用户可用的Flash存储区)。对于后者是我们今天讨论的重点,先看以下官方对此存储区作用的描述:

MAX II devices feature a single UFM block, which can be used like a serial EEPROM for storing non-volatile information up to 8,192 bits. The UFM block connects to the logic array through the MultiTrack interconnect,allowing any LE to interface to the UFM block. Figure 2–15 shows the UFM block and interface signals. The logic array is used to create customer interface or protocol logic to interface the UFM block data outside of the device. The UFM block offers the following features:

■ Non-volatile storage up to 16-bit wide and 8,192 total bits

■ Two sectors for partitioned sector erase

■ Built-in internal oscillator that optionally drives logic array

■ Program, erase, and busy signals

■ Auto-increment addressing

■ Serial interface to logic array with programmable interface

MAX.II的UFM模块使用实例

也就是说,MAX II其实是内嵌了一块8Kbit的Flash。这个Flash原则上是不占用MAX II的其它可用逻辑资源的,不过这有个大前提:用户读写这块存储区使用altera本身的串行接口(遵循特定的通信协议)。但是这个协议也太繁琐了(个人感觉),因此,对于这块存储区读写接口altera提供了三种通用的接口供用户选择。

I2C

■ SPI

■ Parallel

■ None (Altera Serial Interface)

最后一种就是不需要占用器件额外逻辑资源的接口,上面三种是需要消耗器件逻辑资源的接口。笔者添加了一个并行接口做测试,占用了EMP240内部86个LEs,对于资源比较紧张的应用还是很划不来的。

更多详细的关于UFM的信息请大家参考altera提供的MAX II datasheet。下面介绍一个使用并行接口读写UFM的实例,以及功能仿真

新建一个工程,名为ufmtest,顶层模块ufmtest.v,代码如下:

module ufmtest(

databus,addr,

nerase,nread,nwrite,

data_valid,nbusy

);

inout[15:0] databus; //Flash数据总线

input[8:0] addr; //Flash地址总线

input nerase; //擦除Flash某一扇区信号

input nread; //读Flash信号

input nwrite; //写Flash信号

output data_valid; //Flash数据输出有效信号

output nbusy; //Flash忙信号

assign databus = nwrite ? dataout:16‘hzzzz; //写信号有效时,Flash数据总线作为输入

assign datain = databus; //写入Flash数据总线连接

wire[15:0] datain; //Flash写入数据

wire[15:0] dataout; //Flash读出数据

//例化UFM(Flash)模块

para_ufm para_ufm_inst (

.addr ( addr ),

.datain ( datain ),

.nerase ( nerase),

.nread ( nread ),

.nwrite ( nwrite),

.data_valid ( data_valid ),

.dataout ( dataout ),

.nbusy ( nbusy )

);

endmodule

但是在例化UFM模块之前,大家需要先在MegaWizard Plug-In Manager里添加一个Flash模块。步骤如下:

1,点击菜单栏里的ToolsàMegaWizard Plug-In Manager。弹出如下,点击next。

MAX.II的UFM模块使用实例

2,接着选择Memory Compiler下的Flash Memory,然后在What name do you want for the output file?下路径的最后添加输出文件名为para_ufm,点击next.

MAX.II的UFM模块使用实例

3,接下来一路Next,需要更改设置的地方如下(我也不多废话,大家一看都明白):

MAX.II的UFM模块使用实例

MAX.II的UFM模块使用实例

完成上面步骤以后编译工程,编写testbench如下:

`timescale 1ns/1ns

module tb_ufmtest();

//inout

wire[15:0] databus; //Flash数据总线

//input

wire data_valid; //Flash数据输出有效信号

wire nbusy; //Flash忙信号

//output

reg[8:0] addr; //Flash地址总线

reg nerase; //擦除Flash某一扇区信号

reg nread; //读Flash信号

reg nwrite; //写Flash信号

reg[15:0] databus_r; //测试模块数据总线寄存器

reg[15:0] rdback_data; //测试模块数据总线数据回读寄存器

assign databus = nwrite ? 16’hzzzz:databus_r;

ufmtest ufmtest(

.databus(databus),

.addr(addr),

.nerase(nerase),

.nread(nread),

.nwrite(nwrite),

.data_valid(data_valid),

.nbusy(nbusy)

);

parameter DELAY_600US = 600_000, //600us延时

DELAY_2US = 2_000, //2us延时

DELAY_5US = 5_000; //5us延时

initial begin

nerase = 1;

nread = 1;

nwrite = 1;

addr = 0;

databus_r = 0;

#DELAY_600US; //0地址写入数据99

databus_r = 99;

addr = 9‘d0;

nwrite = 0;

#DELAY_5US;

nwrite = 1;

@ (posedge nbusy);

#DELAY_5US; //0地址读出数据,保存到寄存器rdback_data中

databus_r = 16’hff;

addr = 9‘d0;

nread = 0;

#DELAY_5US;

nread = 1;

@ (posedge data_valid);

rdback_data = databus;

#DELAY_600US;

$stop;

end

endmodule

仿真波形如下:

MAX.II的UFM模块使用实例

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 模块
    +关注

    关注

    7

    文章

    2440

    浏览量

    46390
收藏 人收藏

    评论

    相关推荐

    max2121射频芯片配置问题

    有没有max2121射频芯片寄存器配置实例啊,为什么我配置完测试不到信号
    发表于 03-07 17:44

    电源模块和伺服模块维修实例

    一堆坏了的AXV300系统模块(2个电源模块SM24080-BX、2个伺服模块21020、2个伺服模块10413、另外1个ADV200、1个XVY)
    的头像 发表于 01-04 11:02 360次阅读
    电源<b class='flag-5'>模块</b>和伺服<b class='flag-5'>模块</b>维修<b class='flag-5'>实例</b>

    Astro II的中文手册

    电子发烧友网站提供《Astro II的中文手册.pdf》资料免费下载
    发表于 11-16 09:32 0次下载
    Astro <b class='flag-5'>II</b>的中文手册

    LoRa611II -DZ 160mw 串口LoRa无线组网模块V1规格书免费下载

    LoRa611II-DZ模块是思为无线最新推出的无线通讯模块,它基于Semtech公司的LLCC68芯片而研发,采用了先进的LoRa扩频调制跳频技术,高效的接收灵敏度,使得其穿透能力和通信距离都远超当下通用的FSK和GFSK产品
    发表于 11-14 17:48 0次下载

    利用MAX II CPLD实现LCD控制器

    电子发烧友网站提供《利用MAX II CPLD实现LCD控制器.pdf》资料免费下载
    发表于 11-10 09:36 0次下载
    利用<b class='flag-5'>MAX</b> <b class='flag-5'>II</b> CPLD实现LCD控制器

    基于CW32的MAX4466放大器模块设计

    1.MAX4466是一款低噪声、高增益的放大器模块。该模块采用了集成放大器芯片,能够在低电压下提供高增益。它的输入阻抗较高,能够与多种信号源(例如麦克风、传感器)实现良好的匹配。同时,MAX
    的头像 发表于 10-19 09:41 397次阅读
    基于CW32的<b class='flag-5'>MAX</b>4466放大器<b class='flag-5'>模块</b>设计

    系统模块与子模块实例化设计

    要实现一个与或非逻辑的电路,可以先将这个电路进行模块划分,根据功能划分为与门和或非门,如下图所示。
    的头像 发表于 09-17 16:03 1380次阅读
    系统<b class='flag-5'>模块</b>与子<b class='flag-5'>模块</b>的<b class='flag-5'>实例</b>化设计

    VersaClock II 用户指南 手册

    VersaClock II 用户指南 手册
    发表于 07-27 18:32 0次下载
    VersaClock <b class='flag-5'>II</b> 用户指南 手册

    QSpan II User 手册

    QSpan II User 手册
    发表于 07-14 09:42 0次下载
    QSpan <b class='flag-5'>II</b> User 手册

    MAX31865的疑问求解

    Adafruit max31865 示例 - arduino IDE 工作正常,但 MAX31865 仅提供正确的值,如果我在 wemos 运行后短时间断开 MAX 31865 模块
    发表于 05-29 07:31

    SOURCE中文源代码\uCOS_II.C编程实例

    Ucos_II2.52 源码中文译注  
    发表于 05-12 15:40 0次下载

    如何使用 QDR(TM) II SRAM 和 DDR II SRAM 用户手册

    如何使用 QDR(TM) II SRAM 和 DDR II SRAM 用户手册
    发表于 04-27 20:25 6次下载
    如何使用 QDR(TM) <b class='flag-5'>II</b> SRAM 和 DDR <b class='flag-5'>II</b> SRAM 用户手册

    PowerSpan II User 手册

    PowerSpan II User 手册
    发表于 04-20 18:51 0次下载
    PowerSpan <b class='flag-5'>II</b> User 手册

    VersaClock II 用户指南 手册

    VersaClock II 用户指南 手册
    发表于 04-12 19:00 0次下载
    VersaClock <b class='flag-5'>II</b> 用户指南 手册

    QSpan II User 手册

    QSpan II User 手册
    发表于 03-31 19:31 0次下载
    QSpan <b class='flag-5'>II</b> User 手册