0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

2018年IC年度关键词,你都知道几个?

电子工程师 来源:lq 2019-01-15 17:35 次阅读

毫无疑问,我们正身处在科技的时代里。

2018年,科技一词持续升温,而在众多的科技热词当中,5GAI、柔性屏、屏下指纹识别等,已然逐渐明朗,即将隆重登场。

年度关键词:5G

第五代移动通信系统简称5G,是4G系统后的延伸。

目前,全球运营商正在紧锣密鼓的进行5G商用部署,截止2018年11月,全球已有182个运营商在78个国家进行了5G试验、部署和投资。

我国三大运营商的第一批5G网络试点城市包括12座,其中中国联通试点7座城市,中国电信试点6座城市,中国移动试点5座城市;韩国预计将在2019年开启规模商用;欧洲地区则将以产业互联网探索为主,预计个别激进运营商将在2019年试商用。据统计,5G首轮商用将覆盖全球1/3的人口。

面对未来多种多样的应用场景,5G需要应对差异化的挑战,满足不同场景、不同用户的不同需求。国际电信联盟ITU召开的ITURWP5D第22次会议上,确定了未来5G应具有的三大类使用情景:增强型移动宽带eMBB、超高可靠与低延迟的通信uRLLC和大规模(海量)机器类通信mMTC,前者主要关注移动通信,后两者则侧重于物联网

年度关键词:AI

人工智能(Artificial Intelligence),英文缩写为AI。人工智能是包括十分广泛的科学,它由不同的领域组成,如机器学习计算机视觉等等。

CB Insights指出,2018年,人工智能无处不在,或者更确切地说,机器学习将无处不在。可以说,这项技术几乎“无所不能”,并将在2018年创造出无限可能。据前瞻产业研究院的报告指出,预计到2020年,中国人工智能核心产业规模将超过1600亿元,增长率达到26.2%。

衡量产业发展成熟度的另一维度是论文产出量。1998年至2018年间,全球人工智能领域论文产出量最多是美国,达14.91万篇,中国以14.18万篇紧随其后,英国、德国、印度分列三至五位。

在AI领域,中国正努力超越美国和其他西方国家,政府已经投入了大量精力和资金。2017年中国人工智能初创公司投入在全球占比48%,并首次超越美国占据榜首。

年度关键词:边缘计算

根据B2B分析师MarketsandMarkets的数据,到2022年,边缘计算市场的价值将达到67.2亿美元,高于2017年的14.7亿美元,年复合增长率35.4%。关键驱动因素是物联网和5G网络的出现,“智能”应用程序数量的增加以及云基础架构负载的增加。

自动驾驶汽车到农业,以下几个行业将会从边缘计算的潜力中获益:交通运输、医疗保健、制造业、农业和智能农场、能源和电网控制等。

同时,2018年中国边缘计算联盟会员单位超过200多家,三大运营商也加入进来,边缘计算开始从概念走向现实。

年度关键词:柔性屏

柔性屏幕,指的是柔性OLED。柔性屏幕的成功量产不仅重大利好于新一代高端智能手机的制造,也因其低功耗、可弯曲的特性对可穿戴式设备的应用带来深远的影响,未来柔性屏幕将随着个人智能终端的不断渗透而广泛应用。

今年10月底,世界上第一部柔性屏手机正式问世,但是这款手机并非来自与三星苹果这样的大型公司,而是来自于中国的柔宇科技,目前这款手机并未在市面发售,但是从召开的发布会来看,柔性屏的适用范围是非常广的。

FlexPai发布的意义将不仅仅是一款手机这么简单,而是革命性地构建了全新的人机交互方式。而且与全球范围内绝大多数手机企业采用第三方供应的屏幕不同,FlexPai采用柔宇科技完全自主研发并在全球率先量产的全柔性显示屏--柔宇“蝉翼”柔性屏,是全球首款真正的可折叠柔性屏智能手机。

年度关键词:挖孔屏

自从手机厂商们对全面屏出现了执念之后,全面屏似乎朝着一个越来越奇怪的方向发展。那就是几乎不计一切代价的提高屏占比,哪怕只提高一点点。除了苹果,消灭刘海屏是各大手机厂商的主要设计方向,相比所谓的滑屏设计、升降升级,挖孔屏最大限度得避免了耐久度和手机厚度方面的担忧,于是挖孔屏成为了厂商新的选择。

三星在11月初就已经展示了旗下的水滴屏方案,明年二月份发布的三星Galaxy10和即将发布的三星Galaxy A8s手机都将使用这种名叫“Infinity-O”的挖孔屏设计。此外,华为也推出了一款类似Infinity-O的挖孔屏手机,并且取名“极点全面屏”,这款手机就是Nova 4。

年度关键词:屏下指纹技术

屏下指纹技术,是近两年来兴起的全新生物识别方式。

目前屏下指纹识别方案有两种,一种是以Synaptics以及汇顶科技为代表的光学屏下指纹技术,另一种则是以高通为代表的超声波屏下指纹技术,目前已经商用了光学屏下指纹技术,而超声波屏下指纹至今还未商用。

2018年第一季度,第一款在显示屏下嵌入指纹扫描仪的商用手机将进入市场。令人意外的是,苹果和三星将不是第一个使用该技术的公司,而是中国公司Vivo。此外,苹果、三星、华为、魅族等厂商在这方面也都有所布局。

年度关键词:存储器

在2018年,存储器市场可谓是经历了大起大落。2017年供不应求的局面使得2018年初到年中,都是存储器厂商最风光的时候。三大存储器产商(三星、海力士、美光)的财报皆是风光无限。

在年中,IC Insights还预测2018年半导体资本支出总额将增至1020亿美元,其中的一大半都投给了存储器行业。而纵观全年,动态随机存取内存(DRAM)市场会成长39%,储存型闪存(NAND Flash)市场也会成长18%。

谁知画风一转,在年末,市场又一致不被看好,美国花旗银行就预测明年存储器价格会大幅下降,NAND价格会跌45%,DRAM则要下跌30%,而且明年Q2季度也不会见底。

造成过山车一般行情的原因,存储器厂商的过度投资是一方面,需求降温、全球经济的不确定性也成为重要原因之一。细数起来,数据中心的大规模建设告一段落,手机的换代潮未到来,以及中美贸易战,都是行情转换的重要推手。

不过,也不必悲观,无论是AI、5G还是汽车电子,都离不开存储器的革新,长期来看,存储器还是有极其美好的未来。

年度关键词:RISC-V

8年前在加州大学伯克利分校创建的RISC-V,以开源的特性、极简的架构与模块化哲学,被业界寄予厚望。

而最大的诉求是RISC-V是否有望改变现有的由Arm和Intel X86主导的处理器架构竞争格局?过去数年,Arm依赖于在智能手机处理器市场的绝对垄断,MCU市场的持续开拓构筑壁垒;X86架构则在桌面PC和服务器芯片横扫,而RISC-V这一新生力量能否成就这一使命,并为国内处理器IP带来“自主可控”的发展契机?

就在今年6月,Arm还建立专门的网站riscv-basics.com对RISC-V从“成本、生态系统、碎片化风险、安全性和设计保证”五个方面进行攻击,彰显出Arm对RISC-V这个潜在对手的高度重视。而且MIPS最近也将其一些指令集开源,亦足以表明RISC-V的潜能。

从目前来看,2016年成立的RISC-V基金会已吸引了众多高手,包括IBM、NXP、西部数据、英伟达、高通、三星、谷歌、特斯拉、华为、中天微、中兴微、阿里、高云、中科院计算所等国内外150多家企业与科研机构已然加入。此外,今年9月中国RISC-V产业联盟成立,由芯原控股、芯来科技、上海赛昉科技(SiFive China)、杭州中天微、北京君正、兆易创新、紫光展锐、地平线等单位共同发起,芯原控股担任联盟首任理事长单位,旨在助推RISC-V产业生态的建设,加快RISC-V 的市场推广和产业化应用,提升产业核心竞争力。

值得注意的是,RISC-V虽然开源但不等于免费。相比Arm和Intel X86数十年之功与生态之强大,RISC-V要想有所作为,仍有漫长的路要走。比如,要想从RISC-V指令集架构受益,对技术水平、资金投入和时间的要求都不低;RISC-V目前还处于早期的阶段,没有太多的实现和验证,先行者需要承担更多的验证,测试等工作。

年度关键词:7nm

在7nm的江湖,有持续精进者,有孜孜以求者,亦有黯然退出者,在2018年上演了一场悲欣交集的戏剧。

台积电是毫无悬念的领先者。其第一代7nm FinFET已经在2017年第二季度进入试产阶段,并持续求稳,表示将继续使用DUV光刻,然后再转换到EUV光刻,据悉7nm EUV在2019年第一季度就会投入量产。

而与之交相映衬的是今年预计有50多款芯片由台积电7nm代工,基于台积电7nm打造的苹果A12芯片、华为麒麟980等都已经商用,而相较之下,三星的光辉相对黯淡,其7nm的成品仍旧是个未知数。

10月三星宣布7nm LPP量产,且导入了EUV光刻技术,但大规模投产时间仍为2019年秋季,6nm制程应该在2020年后出现。

而格罗方德(GF)8月底宣布退出7nm及以下节点工艺研发与投资的重磅消息让业界震惊,这是继台联电之后第二家放弃10nm以下工艺的半导体公司,也预示着7nm及以后的工艺中只剩下台积电、三星及英特尔三家公司了,而其中英特尔的7nm还没影,只能确定英特尔不会退出竞赛。

为了抢占市场先机,芯片厂商纷纷热情推出自己的7nm芯片或处理器。在这些积极的厂商中,苹果、华为和高通已是台积电7nm的主力客户,AMD、NVIDIA、Xilinx(赛灵思)和其它AI芯片客户则瓜分其余部分。

2018的7nm 胜负已分,2019年的争夺不仅将成为EUV的另一分水岭,对于5G、GPU和AI等芯片厂商而言,更激烈的战况仍在持续。

年度关键词:3D结构光

3D结构光是获取面部立体信息的最佳方案之一。其用途不仅在于面部识别,还可以用于美颜自拍、AR购物、3D打印等多项技术;指纹识别之后,苹果和 OPPO 看好的下一个未来。

iPhone X与OPPO FindX都搭载3D结构光的技术,可以预见的是,不管是此前苹果的先行试水,还是现在 OPPO 就实际运用需求的投入和研发,3D 结构光都有望会成为未来智能手机不可或缺的存在。

此外,华为Mate20 Pro也携带了自家研究的3D结构光技术,高精度人脸识别技术和支付安全技术,同时支持支付宝和微信支付,通过点阵投影,不仅使安全识别的安全性提高了,还支持最快0.6s的解锁速度。同时3D结构光技术可以配合高清前置摄像头实现3D建模,将现实中的物体变成你手机中的玩偶,可玩性极高。

年度关键词:多摄

进入2018年,智能手机行业除了全面屏、屏下指纹、3D结构光技术之外,被提到最多就是手机的拍照方面了。可以说,从单摄到双摄,再从双摄到三摄,智能手机的拍照水平提升经历了一个又一个的飞跃。

2018年将主流双摄直接提到三摄,是华为的P系列旗舰P20 Pro手机,无论是外观设计、还是尺寸的坚持,甚至是硬件性能的搭配,P20 Pro本身就可圈可点。

此外,三星还被曝光了即将发布一款搭载四摄像头的手机——Galaxy A9s。据说三星Galaxy A9s四个摄像头并不只是为了提升拍摄像素,而是把重心放在了拍摄体验上,四个摄像头分别具有不同的像素,最上面的是一颗800万像素的广角摄像头。

总结

中国科技的进步在多个最新技术上不仅没有落后,甚至大多数已走在世界的前列。而这些新科技未来都将拥有着庞大的数据体量、广阔的应用前景,相信它们的大爆发就在不远处。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 5G
    5G
    +关注

    关注

    1340

    文章

    47800

    浏览量

    554126
  • 柔性屏
    +关注

    关注

    1

    文章

    164

    浏览量

    21473
  • 边缘计算
    +关注

    关注

    22

    文章

    2799

    浏览量

    46011

原文标题:2018年IC年度关键词,你都知道几个?

文章出处:【微信号:IC-008,微信公众号:半导体那些事儿】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    深开鸿用三个关键词,为你解读《2023 OpenHarmony 年度运营报告》

    的一笔共同奏响中国基础软件的光辉岁月作为OpenHarmony生态的领军企业深开鸿通过三个关键词带你读懂《2023OpenHarmony年度运营报告》01繁荣202
    的头像 发表于 02-02 17:00 163次阅读
    深开鸿用三个<b class='flag-5'>关键词</b>,为你解读《2023 OpenHarmony <b class='flag-5'>年度</b>运营报告》

    中科曙光算力服务年度盘点 四大关键词

    总结为以下四个关键词。 聚焦 —  曙光算力服务紧跟市场趋势,积极参与信通院新一代算力网技术创新联盟、首批可信算力云服务-智能平台和“算力星图”计划。通过深度参与行业标准和技术创新,曙光智算成功通过首批“可信算力服务-智
    的头像 发表于 01-04 10:34 280次阅读

    测测这10个AI关键词你清楚几个?第4个今年最火

    原文标题:测测这10个AI关键词你清楚几个?第4个今年最火 文章出处:【微信公众号:微软科技】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 12-21 08:15 262次阅读
    测测这10个AI<b class='flag-5'>关键词</b>你清楚<b class='flag-5'>几个</b>?第4个今年最火

    #2023,的 FPGA 年度关键词是什么? # 对状态机的疑惑?

    自己平时一直在写的状态机格式,同事昨天说我写的是一段式的最多算是伪二段式的,说的看了不少文章我也有点疑惑了,所给大家贴出来一起看看,我这边写法和野火的一直这次就贴出野火FPGA的code,供大家参考对比。 module complex_fsm ( input wire sys_clk , //系统时钟 50MHz input wire sys_rst_n , //全局复位 input wire pi_money_one , //投币 1 元 input wire pi_money_half , //投币 0.5 元 output reg po_money , //po_money 为 1 时表示找零 //po_money 为 0 时表示不找零 output reg po_cola //po_cola 为 1 时出可乐 //po_cola 为 0 时不出可乐 ); //********************************************************************// //****************** Parameter and Internal Signal *******************// //********************************************************************// //parameter define //只有五种状态,使用独热码 parameter IDLE = 5\'b00001; parameter HALF = 5\'b00010; parameter ONE = 5\'b00100; parameter ONE_HALF = 5\'b01000; parameter TWO = 5\'b10000; //reg define reg [4:0] state; //wire define wire [1:0] pi_money; //********************************************************************// //***************************** Main Code ****************************// //********************************************************************// //pi_money:为了减少变量的个数,我们用位拼接把输入的两个 1bit 信号拼接成 1 个 2bit 信号 //投币方式可以为:不投币(00)、投 0.5 元(01)、投 1 元(10),每次只投一个币 assign pi_money = {pi_money_one, pi_money_half}; //第一段状态机,描述当前状态 state 如何根据输入跳转到下一状态 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) state <= IDLE; //任何情况下只要按复位就回到初始状态 else case(state) IDLE : if(pi_money == 2\'b01) //判断一种输入情况 state <= HALF; else if(pi_money == 2\'b10)//判断另一种输入情况 state <= ONE; else state <= IDLE; HALF : if(pi_money == 2\'b01) state <= ONE; else if(pi_money == 2\'b10) state <= ONE_HALF; else state <= HALF; ONE : if(pi_money == 2\'b01) state <= ONE_HALF; else if(pi_money == 2\'b10) state <= TWO; else state <= ONE; ONE_HALF: if(pi_money == 2\'b01) state <= TWO; else if(pi_money == 2\'b10) state <= IDLE; else state <= ONE_HALF; TWO : if((pi_money == 2\'b01) || (pi_money == 2\'b10)) state <= IDLE; else state <= TWO; //如果状态机跳转到编码的状态之外也回到初始状态 default : state <= IDLE; endcase //第二段状态机,描述当前状态 state 和输入 pi_money 如何影响 po_cola 输出 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) po_cola <= 1\'b0; else if((state == TWO && pi_money == 2\'b01) || (state == TWO &&pi_money == 2\'b10) || (state == ONE_HALF && pi_money == 2\'b10)) po_cola <= 1\'b1; else po_cola <= 1\'b0; //第二段状态机,描述当前状态 state 和输入 pi_money 如何影响 po_money 输出 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) po_money <= 1\'b0; else if((state == TWO) && (pi_money == 2\'b10)) po_money <= 1\'b1; else po_money <= 1\'b0; endmodule
    发表于 12-16 09:38

    #2023,的 FPGA 年度关键词是什么? # PWM模块更新

    之前的因为一些问题发的code有点问题,这次把更新之后code发了出来,虽然也不是很完善但是初步还是可以用的; 对应的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系统时钟为100MHz inputwirerst,//系统复位 inputwirekey_flag1,//占空比上调 inputwirekey_flag2,//占空比下调 inputwirekey_flag3,//频率上调 inputwirekey_flag4,//频率下调 output regPWM ); //PWM波形频率选择 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的频率设定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM频率生成计数器模块 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比调节模块,步进为10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 对应的测试用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 对应的原始code中的参数如果修改一下是可以大幅缩短仿真时间,但是一时没有想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
    发表于 12-12 10:47

    #2023,的 FPGA 年度关键词是什么? # PWM模块基础设计

    由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示个半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下: modulecreat_PWM ( inputwireclk, //系统时钟为50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //输出PWM为1KHz,1ms=5000*20ns //PWM频率生成计数器模块 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比调节模块 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在电子发烧友上发文章,体验感觉真的不太友好,希望能够把文章的自动保存功能给加上,否则没有备份真的让人不开心
    发表于 12-06 21:56

    #2023,的 FPGA 年度关键词是什么? #

    FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
    发表于 12-06 20:31

    二极管选型的15个关键要素,你知道几个

    二极管选型的15个关键要素,你知道几个? 选择二极管时,有许多关键要素需要考虑。下面是15个关键要素的详细解释,以帮助您更好地了解二极管选型
    的头像 发表于 11-30 14:35 564次阅读

    嵌入式程序员应知道几个基本问题

    电子发烧友网站提供《嵌入式程序员应知道几个基本问题.pdf》资料免费下载
    发表于 11-20 11:21 0次下载
    嵌入式程序员应<b class='flag-5'>知道</b>的<b class='flag-5'>几个</b>基本问题

    打卡有好礼!FPGA开发者技术社区每日打卡活动来啦!!

    VIP 获奖名单公布: 请获奖的小伙伴联系工作人员领取奖品(微信:elecfans123) 12 月打卡关键词 #2023,的 FPGA 年度关键词是什么? # 打卡时间 12月
    发表于 11-06 18:28

    AT32上实现关键词语音识别(KWS)

    AT32上实现关键词语音识别(KWS)本文基于此开源模型和代码,在AT32 MCU 上对KWS 效果进行展示。
    发表于 10-26 07:45

    关键词分析(2)#Python数据分析

    编程python
    未来加油dz
    发布于 :2023年09月02日 18:29:02

    . 基于关键词的内容可视化#大数据

    大数据
    未来加油dz
    发布于 :2023年07月18日 13:49:10

    TinyML变得简单:关键词识别(KWS)

    电子发烧友网站提供《TinyML变得简单:关键词识别(KWS).zip》资料免费下载
    发表于 07-13 10:20 2次下载
    TinyML变得简单:<b class='flag-5'>关键词</b>识别(KWS)

    AXI协议的几个关键特性

    AXI 协议有几个关键特性,旨在改善数据传输和事务的带宽和延迟
    的头像 发表于 05-06 09:49 766次阅读