0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

数字后端G cell概念介绍

数字后端IC芯片设计 来源:工程师曾玲 2019-02-02 17:14 次阅读

今天我们要介绍的概念是G cell,全称global routing cells。 同样的它也是我们定义的一种grid, G cell grid对routing过程中起着相当重要的作用。

我们知道绕线过程可分为global route(全局绕线)和detail route(详细绕线)。globalroute以gcell cell作为绕线参考网格,工具在绕线时会试图寻找gcell中的最短path, gcell还有很大的一个用处是产生congestion map(拥堵图表)。

我们可以在DEF或者floorplan文件中看到GCell Grid的定义.

[GCELLGRID

{X start DO numColumns+1 STEP space}...

{Y startDO numRows+1 STEP space ;} ...]

X Y分别代表水平和垂直方向

start代表第一根grid的位置

DOnumColumns+1,DO numRows+1 代表grid横竖的数量

STEPspace 代表grid之间的间距

数字后端G cell概念介绍

产生的grid效果如下图所示:

数字后端G cell概念介绍

那么gcell是如何对guide global routing的呢?通常一个gcell中会占有10几个track(根据design的不同有所变化),global routing的目标是使得每个gcell的容量占有率在85%左右。

当gell中的track需求量高于容量时,该处地方的route就会产生congestion(拥塞)的问题。通过global route的report我们可以清楚地看见每层layer上的congestion描述:

数字后端G cell概念介绍

也可以产生 congestion map,在GUI上更形象地看到设计中出现拥塞的地方。颜色的定义方式如下图所示:

数字后端G cell概念介绍

产生congestiong map以后,在图形界面上可以看到:

数字后端G cell概念介绍

对应到上述的颜色列表,我们可以很清楚地看到,哪些地方需要我们去调整绕线方案。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 绕线
    +关注

    关注

    5

    文章

    41

    浏览量

    9651
  • GUI
    GUI
    +关注

    关注

    3

    文章

    610

    浏览量

    38787

原文标题:数字后端基本概念介绍

文章出处:【微信号:IC_Physical_Design,微信公众号:数字后端IC芯片设计】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    数字IC后端设计介绍,写给哪些想转IC后端的人!

    膜制造。  IC后端设计工具介绍:  数字后端设计中常用的工具主要由Cadence, Synopsys和Mentor三大公司推出。  逻辑综合工具:Synopsys DC ,Cadence Genus
    发表于 12-29 11:53

    数字后端设计工程师主要干什么?

    数字后端,顾名思义,它处于数字IC设计流程的后端,属于数字IC设计类岗位的一种。在IC设计中,数字后端所占的人数比重一直是最多的,而且随着芯
    发表于 01-13 06:31

    求大佬分享数字后端的教材或教程

    求大佬分享数字后端的教材或教程
    发表于 06-21 06:47

    招聘数字后端工程师

    招聘数字后端工程师,北京、天津、西安、苏州、成都、无锡
    发表于 10-26 15:11

    数字后端设计流程

    数字后端流程 1. 数据准备。对于 CDN 的 Silicon Ensemble而言后端设计所需的数据主要有是Foundry厂提供的标准单元、宏单元和I/O Pad的库文件,它包括物理库、时序库及网表库,分别以.lef、
    发表于 10-28 10:31 39次下载

    数字后端关于Litho Grid基本概念介绍详解

    数字后端基本概念介绍——Litho Grid,今天要介绍数字后端基本概念是Litho Grid
    的头像 发表于 12-14 17:05 4519次阅读

    数字后端基本概念介绍——Placement Blockage的9中人为约束

    今天要介绍数字后端基本概念是Placement Blockage. Placement blockage是大家在floorplan时经常用的一种人为约束。可以有效控制区域的density。从而避免
    的头像 发表于 01-02 09:52 9213次阅读

    介绍数字后端概念--Shape Blockage

    今天我们主要介绍数字后端概念是Shape Blockage(形状阻碍物)。主要是用于在Design Planning时,阻碍工具在shape blocks时,在该处放置block。平时较少使用,如下图所示,工具不会在红字区域摆
    的头像 发表于 01-29 10:27 6298次阅读

    数字后端基本概念介绍

    今天要介绍数字后端基本概念是boundary cell,也被称为endcap Cell。Endcap是一种特殊的标准单元。在
    的头像 发表于 03-16 11:10 2.2w次阅读
    <b class='flag-5'>数字后端</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介绍</b>

    浅谈数字后端工程师的工作

    数字后端,顾名思义,它处于数字IC设计流程的后端,属于数字IC设计类岗位的一种。 在IC设计中,数字后端所占的人数比重一直是最多的,而且随着
    的头像 发表于 02-26 16:06 1.3w次阅读

    数字后端——电源规划

    数字IC后端设计电源规划的学习
    发表于 01-05 14:54 13次下载
    <b class='flag-5'>数字后端</b>——电源规划

    什么是数字后仿?浅谈芯片数字后仿的那些事

    这是相对于数字前仿来说的。从概念上来说,数字验证包含两方面的内容,数字前仿和数字后仿。
    的头像 发表于 03-15 14:51 6406次阅读

    数字后端基本概念介绍—FinFET Grid

    今天要介绍数字后端基本概念是FinFET Grid,它也是一种设计格点。介绍该格点前,我们首先来了解一下什么是FinFET技术。
    发表于 07-12 17:31 792次阅读
    <b class='flag-5'>数字后端</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介绍</b>—FinFET Grid

    Standard cell是怎么应用到我们的后端设计中的呢?

    Standard cell,标准单元,或者简称cell,可以说是数字芯片后端最基本的概念之一了,甚至可能没有接触过
    的头像 发表于 12-04 11:44 366次阅读

    模拟前端和数字后端哪个好 模拟前端和数字后端的区别

    模拟前端和数字后端都是电子系统设计中的重要环节,它们各自扮演着不可或缺的角色,难以简单地进行优劣比较。
    的头像 发表于 03-16 15:09 302次阅读