0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado FPGA设计基础操作流程:Vivado的基本使用

电子工程师 来源:lq 2018-12-21 10:44 次阅读

Vivado FPGA设计基础操作流程

当然在介绍的过程当中会给大家推荐一些对于工具深入使用的Xilinx官网资料。这里以流水灯的控制为例。

Vivado的基本使用

(一) 打开Vivado新建工程:

1. 安装好Vivado之后,在Windows系统Start > All Programs > Xilinx Design Tools > Vivado> Vivado,或者桌面上找到如下图标,点击打开Vivado。

2. 点击Create Project

3. 指定工程所放路径与工程名字

4. 选择RTL Project ,此时把Do not specify at this time勾上,表示在新建工程时不去指定源文件。

5. 选择器件或者板卡。Parts表示器件,当然如果是板卡就点击Boards。器件可以根据系列去选,也可以直接在Search栏搜索器件型号。器件的选择根据你的FPGA芯片来定,一般在你所用的开发板手册里面可以找到。选择完毕点击Next。

6. 确认整个工程信息后,点击Finish,完成工程创建。

(二)新建Verilog文件:

1. 在Flow Navigator界下点击 Add Sources.

2. 如下界面,第一个是添加或者新建XDC约束文件;第二个是添加或者新建设计文件;第三个是添加或者新建仿真文件。这里选择添加或者新建设计文件,再点击Next。

3. 这里点击Create File。Add Files表示添加已有的设计文件,Add Directories表示添加文件夹。

4. 指定文件名与路径(默认存放在工程默认路径),文件类型(File type)选择为Verilog,如果你用的是其它硬件描述语言,则选择你用的语言类型。

5. 在之后跳出的框依次点击OK,Finish,Yes。这就完成了一个设计文件的新建。

6. 在Source框双击刚刚新建的文件,完成你的Verilog代码设计,并保存。

重复上述操作完成对clk_gen.v(分频模块)和led_top.v(顶层模块)文件的设计与编码。

(三) 查看 Schematic:

1. 在Flow Navigator 下点击RTL ANALYSIS -> Open Elaborated Design -> Schematic

2. 一会儿就会弹出你所设计的Verilog的原理图。点击原理图里模块上的+号,可以将此模块的内部电路展开。

大家可以参考官网文档 "Vivado Design Suite User Guide: Using the Vivado IDE (UG893)"去进一步了解Schematic的使用。

(四)添加TB文件,做功能仿真:

在完成RTL设计之后,我们先需要对其做仿真来验证其功能的正确性。这里先需要添加testbench文件,来给设计输入测试激励。

1. 添加testbench文件。在Flow Navigator界面下点击 Add Sources -> Add or create simulation sources -> Create File,则会出现如下界面,选定文件名(File name),文件类型(File type)。接下来依次点击OK,Finish, OK完成文件的创建。

2. 在Sources框找到刚新建的tb文件,双击进行编辑,完成编辑后保存。编辑完毕,则如下图所示。

3.在Flow Navigator界面下点击 Run Simulation -> Run Behavioral Simultion。如果代码没有错误,则一会儿将会在右边出现如下图所示的波形窗口。

4. 在Scope框里,选择模块,其内部信号显示在其右边的Objects框里,可以右击选择Add to wave window,将其添加到波形显示窗口。界面最上方红框框出来的几个按钮从最左边到右边三个分别是 “从新开始运行仿真”, “一直运行仿真”,“运行设定时间长度的仿真(如图设定的时间为1us)”。每新添加信号后都需要从新运行仿真。

运行仿真是为了确认RTL设计的功能的正确性,对于仿真的深入了解可以参阅官网资料“Vivado Design Suite User Guide: Logic Simulation (UG900) ” 和 “Vivado Design Suite Tutorial: Logic Simulation (UG937)”。

(六) 添加管脚约束

添加管脚约束,添加管脚约束可以选用XDC文件的方式(参考官方文档 “Vivado Design SuiteUser GuideUsing Constraints (UG903 )”),也可以采用界面化的方式。这里给大家介绍界面化的方式。

(五)Synthesis(综合)

直接在Flow Navigator界面下点击 Run Synthesis后点击OK即可。

Synthesis完毕后就会跳出如下信息框。选择Open Synthesized Design后点击OK。

对于Synthesis的其它一些设置选项等等,大家可以参考官网文档 “Vivado Design Suite User Guide:Synthesis (UG901)”。

(六) 添加管脚约束

添加管脚约束,添加管脚约束可以选用XDC文件的方式(参考官方文档 “Vivado Design SuiteUser GuideUsing Constraints (UG903 )”),也可以采用界面化的方式。这里给大家介绍界面化的方式。管脚约束是为了将设计的输入输出引脚映射到FPGA芯片的引脚上。

1. Synthesis结束之后,并且Open Synthesized Design之后。在界面右上角选择I/O Planning

2. 之后在I/O Ports给I/O端口分配引脚。Package Pin就是对应的FPGA芯片的引脚。其中clk接外部晶振输入,rts_n接外部复位按钮,led_o接led灯。

(七)Implementation(实现)

引脚分配完毕之后,在Flow Navigator界面下点击Run Implementation

Implementation完毕之后会出现如下弹框。点击OK则会打开Implementation之后的设计。

对于Implementation的其它一些设置选项等等,大家可以参考官网文档 "Vivado Design Suite User Guide: Implementation (UG904)"。

(八)生成编程文件,上板测试

Implementation之后在Flow Navigator界面下点击Generate Bitstream

默认生成的为.bit文件。对于这一块的其他一些设置大家可以参考官网资料 “Vivado Design Suite User Guide: Programming and Debugging (UG908)”。

Bitstream文件生成完毕之后,出现如下弹框。接下来选择Open Hardware Manager,点击OK。准备上班测试。

有些开发板支持SD配置,则直接将生成的.bit文件拷到对应的SD卡里面即可。

如果有Xilinx下载器,将Xilinx下载器,开发板子,电脑连接好,并上电。

如下所示,点击Open Target -> Auto Connect

工具自动搜索已连接的器件。一般第一次会连接失败,出现如下图所示情况。右击红色箭头所指,再点击Close Server。

之后再重复Open Target -> Auto Connect操作,如果连接成功,视图如下。右击红色箭头所指的器件名,选择Program Device

然后弹出如下所示框,其自动为我们选择了刚刚生成的bit文件,点击Program,工具就会将我们的bit文件配置到FPGA里面去。配置完成板子上就会出现相应的效果。

总结

上述就是一个基本的Vivado使用过程,在这个过程中的每一步,都有相应的官网资料推荐,如果大家想要深入了解Vivado具有的强大功能,最好需要去看看这些文档。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1601

    文章

    21296

    浏览量

    593068
  • Vivado
    +关注

    关注

    18

    文章

    789

    浏览量

    65091

原文标题:Vivado FPGA设计基础操作流程

文章出处:【微信号:LF-FPGA,微信公众号:小鱼FPGA】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    基于FPGA vivado 17.2 的数字钟设计

    基于FPGA vivado 17.2 的数字钟设计
    的头像 发表于 06-08 09:41 1w次阅读
    基于<b class='flag-5'>FPGA</b> <b class='flag-5'>vivado</b> 17.2 的数字钟设计

    基于FPGA vivado 17.2 的数字钟设计

    基于FPGA vivado 17.2 的数字钟设计目的:熟悉vivado 的开发流程以及设计方法附件:
    发表于 12-13 10:16

    基于microblaze的vivado开发流程

    、SW拨码开关以下是官网提供的资料链接:arty a7开发板资料Pmod DA4资料vivado安装说明board files添加基于microblaze的vivado开发流程以下是在viva
    发表于 01-18 08:09

    基于FPGA Vivado的流水灯样例设计资料分享

    【流水灯样例】基于 FPGA Vivado 的数字钟设计前言模拟前言Vivado 设计流程指导手册——2013.4密码:5txi模拟
    发表于 02-07 08:02

    Vivado设计之Tcl定制化的实现流程

    其实Tcl在Vivado中还有很多延展应用,接下来我们就来讨论如何利用Tcl语言的灵活性和可扩展性,在Vivado中实现定制化的FPGA设计流程。 基本的
    发表于 11-18 01:48 3334次阅读
    <b class='flag-5'>Vivado</b>设计之Tcl定制化的实现<b class='flag-5'>流程</b>

    FPGA设计流程Vivado的基础使用

    我们以8-bit 的LFSR(线性反馈移位寄存器)做一个流水灯为例,介绍Vivado的基本使用。
    的头像 发表于 09-25 16:16 1.5w次阅读
    <b class='flag-5'>FPGA</b>设计<b class='flag-5'>流程</b>与<b class='flag-5'>Vivado</b>的基础使用

    Vivado综合引擎的增量综合流程

    Vivado 2019.1 版本开始,Vivado 综合引擎就已经可以支持增量流程了。这使用户能够在设计变化较小时减少总的综合运行时间。
    发表于 07-21 11:02 1389次阅读

    Vivado设计流程指导手册

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计中,我们常用的是 Project Mode。在本手册中,我们将以一个简单的实验案例,一步一步的完成 Vivado的整个设计流程
    发表于 03-22 11:39 50次下载
    <b class='flag-5'>Vivado</b>设计<b class='flag-5'>流程</b>指导手册

    Vivado设计流程指导说明

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计中,我们常用的是 Project Mode。在本手册中,我们将以一个简单的实验案例,一步一步的完成 Vivado的整个设计流程
    发表于 03-25 14:39 28次下载

    FPGA Vivado】基于 FPGA Vivado 的流水灯样例设计

    【流水灯样例】基于 FPGA Vivado 的数字钟设计前言模拟前言Vivado 设计流程指导手册——2013.4密码:5txi模拟
    发表于 12-04 13:21 26次下载
    【<b class='flag-5'>FPGA</b> <b class='flag-5'>Vivado</b>】基于 <b class='flag-5'>FPGA</b> <b class='flag-5'>Vivado</b> 的流水灯样例设计

    Xilinx FPGA Vivado开发流程介绍

    系统性的掌握技术开发以及相关要求,对个人就业以及职业发展都有着潜在的帮助,希望对大家有所帮助。本次带来Vivado系列,Vivado开发软件开发设计流程。话不多说,上货。
    的头像 发表于 02-21 09:16 2934次阅读

    用TCL定制Vivado设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程
    的头像 发表于 05-05 09:44 722次阅读
    用TCL定制<b class='flag-5'>Vivado</b>设计实现<b class='flag-5'>流程</b>

    vivado创建工程流程

    vivado的工程创建流程对于大部分初学者而言比较复杂,下面将通过这篇博客来讲解详细的vivado工程创建流程。帮助自己进行学习回顾,同时希望可以对有需要的初学者产生帮助。
    的头像 发表于 07-12 09:26 1311次阅读
    <b class='flag-5'>vivado</b>创建工程<b class='flag-5'>流程</b>

    vivado仿真流程

    vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。
    的头像 发表于 07-18 09:06 2483次阅读
    <b class='flag-5'>vivado</b>仿真<b class='flag-5'>流程</b>

    vivado主界面及设计流程

    Vivado设计主界面,它的左边是设计流程导航窗口,是按照FPGA的设计流程设置的,只要按照导航窗口一项一项往下进行,就会完成从设计输入到最后下载到开发板上的整个设计
    的头像 发表于 09-17 15:40 1621次阅读
    <b class='flag-5'>vivado</b>主界面及设计<b class='flag-5'>流程</b>