0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Xilinx Spartan-6 FPGA协处理套件演示

Xilinx视频 作者:郭婷 2018-11-20 05:51 次阅读

视频将向开发人员介绍Xilinx和Avnet的新平台,Spartan-6 FPGA 嵌入式套件基于 Spartan®-6 LX45T FPGA 之上,包含可扩展式开发板、关键设计工具以及实现高效嵌入式应用开发所需的 IP 核。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1599

    文章

    21273

    浏览量

    592820
  • 嵌入式
    +关注

    关注

    4975

    文章

    18235

    浏览量

    287834
  • 赛灵思
    +关注

    关注

    32

    文章

    1794

    浏览量

    130497
收藏 人收藏

    评论

    相关推荐

    Xilinx fpga芯片系列有哪些

    Xilinx FPGA芯片拥有多个系列和型号,以满足不同应用领域的需求。以下是一些主要的Xilinx FPGA芯片系列及其特点。
    的头像 发表于 03-14 16:24 658次阅读

    XQ138F-EVM 教学实验指导手册

    6 FPGA(或国产 PIN To PIN 兼容 FPGA 中科亿海微 EQ6HL45)三核心平台,广州星嵌电子科技有限公司提供了丰富的例程。 开发 OMAP-L138+ Xilinx Spa
    发表于 03-12 18:07 0次下载

    XMC4300从控制器与Spartan-6 FPGA兼容吗?

    我目前正在探索将英飞凌 XMC4300 从控制器与 Xilinx Spartan-6 FPGA 集成到我们项目中的兼容性和通信协议选项。 具体来说,我想了解 XMC4300 是否适用于促进我们在
    发表于 03-06 07:47

    简述Xilinx 7系列FPGA芯片相关知识

    芯片的知识以及特点。 一、7系列芯片的工艺级别 xilinx 7系列FPGA芯片采用的是28nm生产工艺,主要分为Spartan、Artix、Kintex和Virtex四个系列。   Xil
    的头像 发表于 11-28 10:20 458次阅读
    简述<b class='flag-5'>Xilinx</b> 7系列<b class='flag-5'>FPGA</b>芯片相关知识

    FPGA | 查找表(Look-Up-Table)的原理与结构(Xilinx Spartan-II)

    一、查找表(Look-Up-Table)的原理与结构 采用这种结构的PLD芯片我们也可以称之为FPGA:如altera的ACEX、APEX系列、XilinxSpartan、Virtex系列等
    发表于 11-03 11:18

    Xilinx FPGA芯片内部时钟和复位信号使用方法

    如果FPGA没有外部时钟源输入,可以通过调用STARTUP原语,来使用FPGA芯片内部的时钟和复位信号,Spartan-6系列内部时钟源是50MHz,Artix-7、Kintex-7等7系列F
    的头像 发表于 10-27 11:26 1067次阅读
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b>芯片内部时钟和复位信号使用方法

    如何将Arm Cortex-M处理器与XilinxFPGA和SoC结合使用

    电子发烧友网站提供《如何将Arm Cortex-M处理器与XilinxFPGA和SoC结合使用.pdf》资料免费下载
    发表于 09-15 15:04 1次下载
    如何将Arm Cortex-M<b class='flag-5'>处理</b>器与<b class='flag-5'>Xilinx</b>的<b class='flag-5'>FPGA</b>和SoC结合使用

    Spartan-6Spartan-7 FPGA的迁移过程

    电子发烧友网站提供《从Spartan-6Spartan-7 FPGA的迁移过程.pdf》资料免费下载
    发表于 09-14 15:15 4次下载
    从<b class='flag-5'>Spartan-6</b>到<b class='flag-5'>Spartan</b>-7 <b class='flag-5'>FPGA</b>的迁移过程

    基于Xilinx FPGA的边界扫描应用

    上一篇文章,介绍了基于STM32F103的JTAG边界扫描应用,演示了TopJTAG Probe软件的应用,以及边界扫描的基本功能。本文介绍基于Xilinx FPGA的边界扫描应用,两者几乎是一样。
    的头像 发表于 09-13 12:29 707次阅读
    基于<b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b>的边界扫描应用

    Arm Cortex-M1 DesignStart FPGA Xilinx版用户指南

    器适用于需要将小型处理器集成到FPGA中的深度嵌入式应用。 该处理器实现ARMv6-M架构,并与用于ASIC实现的Cortex-M0和Cortex-M0+
    发表于 08-16 06:10

    AMD推出Spartan UltraScale+ FPGA,可简化FPGA开发体验

    简化设计流程:Spartan UltraScale+ FPGA 将为 AMD Vivado 和Vitis 工具所支持,这些工具可提供简化的FPGA 开发体验,从而提升生产力并助力客户更快地将产品推向市场。
    发表于 07-07 10:30 522次阅读

    SDAWIR03 演示套件用户指南

    SDAWIR03 演示套件用户指南
    发表于 07-06 20:03 0次下载
    SDAWIR03 <b class='flag-5'>演示</b><b class='flag-5'>套件</b>用户指南

    Spartan 6 FPGA上从头开始实现全加器

    电子发烧友网站提供《在Spartan 6 FPGA上从头开始实现全加器.zip》资料免费下载
    发表于 06-15 10:13 0次下载
    在<b class='flag-5'>Spartan</b> 6 <b class='flag-5'>FPGA</b>上从头开始实现全加器

    Xilinx FPGA pcb设计

    Xilinx FPGA pcb设计
    发表于 05-29 09:11 0次下载

    V850ES J_Series 演示套件

    V850ES J_Series 演示套件
    发表于 04-26 20:28 0次下载
    V850ES J_Series <b class='flag-5'>演示</b><b class='flag-5'>套件</b>