0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

降低Clock Uncertainty流程

电子工程师 来源:未知 作者:李倩 2018-11-12 14:40 次阅读

Clock Uncertainty跟图1所示的几个因素有关。当时序违例路径的Clock Uncertainty超过0.1ns时,应引起关注。这一数值可在时序报告中查找到,如图2所示,如果需要降低Clock Uncertainty,可采用如图3所示的流程。

图1 Clock Uncertainty相关因素

图2 Timing Report中查看Clock Uncertainty

图3 降低Clock Uncertainty的操作流程

01

同步时钟是否由两个并行的MMCM或PLL生成

在UltraScale和UltraScale Plus系列芯片中,BUFGCE_DIV可提供分频功能。如图4所示,如果需要通过MMCM生成两个时钟,其频率分别为300MHz和600MHz。此时,可利用BUFGCE_DIV的分频功能,同时可对这两个时钟设置CLOCK_DELAY_GROUP属性,从而降低Clock Uncertainty。

图4 利用BUFGCE_DIV生成分频时钟

02

生成时钟其Discrete Jitter>0.05ns?

Discrete Jitter是由MMCM/PLL引入的,其具体数值可通过点击图2中Clock Uncertainty的数值查看,如图5所示。通常,VCO的频率越高,引入的DiscreteJitter会越小。因此,可通过手工调整VCO的频率(在ClockingWizard中修改M和D两个参数)达到降低Discrete Jitter的目的。此外,如果可以的话,用PLL替代MMCM。相比于MMCM,PLL引入的Jitter会小一些。

图5 查看Discrete Jitter具体数值

03

同步跨时钟域路径是否超过1000条

过多的同步跨时钟域路径会对时序收敛带来一定的挑战,尤其是时钟频率比较高时,例如频率为500MHz。此时要检查这些路径。

(1)能否对这些路径设置多周期路径约束

(2)在Latency允许的情况下,通过FIFO或XPM_CDC处理跨时钟域路径

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 时钟
    +关注

    关注

    10

    文章

    1479

    浏览量

    130302

原文标题:深度解析ug1292(9)

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    clock

    clock信号上并联电容的作用,机理是什么,在信号的影响是什么
    发表于 09-05 22:03

    FPGA里面关于时序约束的问题。。求大神指导

    呢?(3)Critical Warning: The following clock transfers have no clock uncertainty assignment. For more
    发表于 01-11 15:21

    SysBios clock的问题

    SysBios 关于clock有这么一段描述: The Clock module lets you create Clock object instances, which reference
    发表于 06-19 00:31

    FPGA报错,添加了时钟时序,还有这个报错怎么解决?

    Critical Warning (332168): The following clock transfers have no clock uncertainty assignment.
    发表于 12-19 10:08

    FPGA报错,添加了时钟时序怎么解决?

    Critical Warning (332168): The following clock transfers have no clock uncertainty assignment.
    发表于 05-26 22:57

    Agilent Uncertainty Analysis B

    uncertainty in accord with the ISO 17025requirements. The GUM methodof combining contributinguncertainties to properly
    发表于 07-27 12:47 13次下载

    Determining Clock Accuracy Req

    Determining Clock Accuracy Requirements for UART Communications Abstract: This applicati
    发表于 03-31 22:17 1032次阅读
    Determining <b class='flag-5'>Clock</b> Accuracy Req

    ICS307 Clock Generator

    ICS307 Clock Generator。
    发表于 03-23 10:41 0次下载

    在PADS设计流程中使用DFM分析可降低成本

    在早期设计流程中使用 DFM 分析可满足您的上市时间窗口要求并降低产品总成本。
    的头像 发表于 05-15 06:32 3577次阅读

    芯片设计之逻辑综合过程(下)

    描述时钟网络信号的参数有两个:Clock Latency和Clock UncertaintyClock Latency表示时钟信号经由其他元器件和连线到达D触发器的延时,
    的头像 发表于 08-15 16:05 1310次阅读

    时钟抖动会影响建立时间和保持时间违例吗?

    首先,我们需要理解什么是时钟抖动。简而言之,时钟抖动(Jitter)反映的是时钟源在时钟边沿的不确定性(Clock Uncertainty)。
    的头像 发表于 06-02 09:09 1122次阅读
    时钟抖动会影响建立时间和保持时间违例吗?

    AND GATE的clock gating check简析

    一个cell的一个输入为clock信号,另一个输入为gating信号,并且输出作为clock使用,这样的cell为gating cell。
    的头像 发表于 06-29 15:28 1823次阅读
    AND GATE的<b class='flag-5'>clock</b> gating check简析

    探讨下clock的基本定义(上)

    Clock分为两大类,一类是root clock,其定义指令是create_clock;另外一类是generated clock,其定义指令是create_generated_
    的头像 发表于 07-06 15:31 1079次阅读
    探讨下<b class='flag-5'>clock</b>的基本定义(上)

    探讨下clock的基本定义(下)

    要探讨今天的主题,首先需要跟大家一起学习下clock latency这个基本概念。Clock latency通俗意义上是指clock定义点到clock sink point(时序器件的
    的头像 发表于 07-06 15:34 1903次阅读
    探讨下<b class='flag-5'>clock</b>的基本定义(下)

    时序分析基本概念介绍&lt;Uncertainty&gt;

    今天我们要介绍的时序分析命令是uncertainty,简称时钟不确定性。
    的头像 发表于 07-07 17:23 1939次阅读
    时序分析基本概念介绍&lt;<b class='flag-5'>Uncertainty</b>&gt;