0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

深度解析ug1292:降低布线延迟

电子工程师 来源:未知 作者:李倩 2018-10-30 10:47 次阅读

当设计出现布线拥塞时,通常会导致布线延迟增大,从而影响时序收敛。布线拥塞程度可通过如下两种方式获取:

-布线阶段的log文件中会显示拥塞程度

-对于place_design或route_design生成的dcp文件,可通过如下命令获取

生成的拥塞报告如下图所示。要格外关注拥塞程度(Congestion Level)大于4的区域。

对于拥塞程度大于4的情形,可采用如下流程加以改善并降低布线延迟。在如下的案例中,可以看到布线延迟占到了总延迟的94%,据此,可断定布线延迟是导致时序违例的根本原因。从布线结果看,在拥塞区域出现了绕线。

图片来源:page 6, ug1292

图片来源:page 6, ug1292

降低拥塞程度可改善布线质量。Xilinx建议采用如下方法以改善布线拥塞。

(1)当整体资源利用率达到70%~80%时(对于多die芯片,这个数值是指每个SLR的资源利用率),需要砍掉一些模块以降低资源利用率。尤其要避免LUT/BRAM/DSP/URAM利用率同时出现大于80%的情形。如果BRAM/DSP/URAM这些Block利用率无法降低,那么要确保LUT利用率低于60%。

(2)执行place_design时,尝试将-directive设置为AltSpreadLogic*或SSI_Spread*或将Implementation的策略设置为Congestion_*,如下图所示。

(3)通过如下命令分析设计复杂度,找到设计中出现拥塞的模块(Rent值大于0.65或AverageFanout大于4)。之后,对这些模块实施模块化综合,其中的综合策略设置为ALTERNATE_ROUTABILITY。

生成设计复杂度报告:

对拥塞模块采用模块化综合技术

(4)降低拥塞区域MUXF*和LUT-Combining的使用率,具体方法有时可通过report_qor_suggestions获得。但采用模块化综合技术是一个值得一试的方法。

(5)在布线区域内非关键的高扇出网线上引入BUFG,可通过如下命令实现。

(6)从之前低拥塞的布线或布局结果中继承DSP/BRAM/URAM的布局。这可通过如下脚本实现。

优化高扇出网线:

(1)在RTL层面,基于设计层次复制寄存器降低扇出,或者在opt_design阶段通过-hier_fanout_limit选项降低扇出。

(2)在phys_opt_design(布局之后)阶段通过-force_replication_on_nets对关键的高扇出网线通过复制寄存器降低扇出。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 布线
    +关注

    关注

    9

    文章

    730

    浏览量

    84019
  • ug1292
    +关注

    关注

    0

    文章

    3

    浏览量

    2305

原文标题:深度解析ug1292(6)

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    深度解析降低EMI的办法

    案列为你深度解析降低EMI的办法。EMI噪声源和耦合路劲的基本概念图1所示是离线反激变换器的传导电磁干扰测量电路图。测量中使用的是标准的传导测量仪器LISN,由电感,电容以及两个50ohm电阻组成
    发表于 06-11 09:36

    怎么降低延迟

    你好。我是PC游戏玩家,我想调整我的英特尔i219-v网卡驱动程序,因为我可以获得更低的延迟..有一些设置我可以改变以降低延迟?以上来自于谷歌翻译以下为原文Hi. I am a PC gamer
    发表于 10-29 14:21

    AUTOSAR架构深度解析 精选资料推荐

    AUTOSAR架构深度解析本文转载于:AUTOSAR架构深度解析目录AUTOSAR架构深度解析A
    发表于 07-28 07:40

    AUTOSAR架构深度解析 精选资料分享

    AUTOSAR架构深度解析本文转载于:AUTOSAR架构深度解析AUTOSAR的分层式设计,用于支持完整的软件和硬件模块的独立性(Independence),中间RTE(Runtime
    发表于 07-28 07:02

    C语言深度解析

    C语言深度解析,本资料来源于网络,对C语言的学习有很大的帮助,有着较为深刻的解析,可能会对读者有一定的帮助。
    发表于 09-28 07:00

    综合布线常用术语解析

    综合布线常用术语解析  应用系统   应采用某种方式传输信息的系统,这个系统能在综合布线上正常运
    发表于 04-14 11:49 655次阅读

    ug1292时序收敛快速参考手册

    这个手册与ug949的理念是一致的即”尽可能地把所有问题放在设计初期解决“。宁可在设计初期花费更多的时间,也不要等到布局布线后才开始发现问题再解决问题。因为,在设计后期,往往会面临牵一发而动全身的被动局面。即使一个小的改动都有可能花费很多的时间和精力甚至造成返工。
    的头像 发表于 10-09 10:52 3185次阅读
    <b class='flag-5'>ug1292</b>时序收敛快速参考手册

    ug1292深度解析

    初始设计检查流程如下图所示。对象是综合后或opt_design阶段生成的dcp。会依次执行三个命令(图中红色标记),生成三个报告:FailFast报告、时序报告和UFDM(UltraFast Design Methodology)报告。
    的头像 发表于 10-12 11:19 3394次阅读

    浅谈ug1292中的降低逻辑延迟的解决方案

    我们需要关注两类路径。一类路径是由纯粹的CLB中的资源(FF,LUT,Carry,MUXF)构成的路径;另一类则是Block(DSP,BRAM,URAM,GT)之间的路径。
    的头像 发表于 10-27 08:43 6736次阅读
    浅谈<b class='flag-5'>ug1292</b>中的<b class='flag-5'>降低</b>逻辑<b class='flag-5'>延迟</b>的解决方案

    UG1292使用之初始设计检查使用说明

    UG1292第一页是针对综合后或者opt_design阶段的使用说明。vivado的基本流程有5步(其实不同策略可以更多,具体使用方法和好处以后讲),分别是synth_design
    的头像 发表于 10-30 10:25 3139次阅读
    <b class='flag-5'>UG1292</b>使用之初始设计检查使用说明

    DC1292A-设计文件

    DC1292A-设计文件
    发表于 04-12 10:10 1次下载
    DC<b class='flag-5'>1292</b>A-设计文件

    DC1292A-模式

    DC1292A-模式
    发表于 04-17 18:16 0次下载
    DC<b class='flag-5'>1292</b>A-模式

    DC1292A DC1292A评估板

    电子发烧友网为你提供ADI(ti)DC1292A相关产品参数、数据手册,更有DC1292A的引脚图、接线图、封装手册、中文资料、英文资料,DC1292A真值表,DC1292A管脚等资料
    发表于 09-03 19:00

    AD1292R芯片手册

    ADS1291、ADS1292 和 ADS1292R 是多通道同步采样 24 位 Δ-Σ 模数转换器 (ADC),它们具有内置的可编程增益放大器 (PGA)、内部基准和板载振荡器。ADS1291
    发表于 04-07 17:58 4次下载

    UltraFast设计方法时序收敛快捷参考指南(UG1292)

    电子发烧友网站提供《UltraFast设计方法时序收敛快捷参考指南(UG1292).pdf》资料免费下载
    发表于 09-15 10:38 0次下载
    UltraFast设计方法时序收敛快捷参考指南(<b class='flag-5'>UG1292</b>)