0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

赛灵思推出能提供FPGA设计工具和IP的ISE设计套件

电子工程师 来源:未知 作者:工程师李察 2018-10-27 08:22 次阅读

赛灵思公司推出ISE设计套件11.1版本(ISE Design Suite 11.1)。这一FPGA设计解决方案在业界率先为逻辑、数字信号处理、嵌入式处理以及系统级设计提供了完全可互操作的领域专用设计流程和工具配置。 该新版本为面向多种市场和应用的基于FPGA的片上系统解决方案提供了更简单、更智能的设计方法。赛灵思公司致力于为设计人员提供目标设计平台,而ISE 设计套件 11.1版本的推出是一个重要的里程碑。

为更好地满足当前异常多元化的FPGA应用对先进FPGA设计技术的需求,赛灵思公司此次推出的ISE设计套件创新性地提供了四个针对特定领域而优化的配置版本:逻辑版本(Logic Edition)、DSP版本(DSP EdiTIon)、嵌入式版本(Embedded EdiTIon)和系统版本(System EdiTIon)。 每一版本都提供了完整的FPGA设计流程,并且专门针对特定的用户群体(工程师)和特定领域的设计方法及设计环境要求进行了优化,从而使设计人员能够将更多精力集中于创建增值的、具有竞争力的差异化产品和应用。

同时,针对与目标设计平台一起提供的基础级FPGA与领域专用工具、技术以及IP组件等, ISE 设计套件 11.1版本还为其提供了新功能以及易于使用的增强特性。 赛灵思公司随其Virtex-6 和 Spartan-6 FPGA系列器件而推出的目标设计平台为嵌入式、DSP和硬件设计人员提供了范围广泛的器件、通用设计流程、IP、开发工具和运行时间平台。 ISE 设计套件 11.1版本可使基于现有Virtex-5 和 Spartan-3 FPGA的设计开发周期缩短长达50%、平均动态功耗降低10%、开发工具性能提升一倍。同时赛灵思早期试用客户则可利用基于最新Virtex-6 和 Spartan-6器件的目标设计平台开始新的设计。

领域优化的设计配置

基于赛灵思在设计输入、综合、实施和验证方面的专有技术,以及与业界领先的第三方综合和仿真解决方案的集成,ISE 设计套件11.1每个不同版本都提供了一个从前到后的全面设计环境。因此,设计人员可以选择最适合自己的设计方法的配置和赛灵思目标设计平台,从而实现更高的生产力,以最快的速度完成设计并获得最高质量的设计结果。

• ISE设计套件逻辑版本(ISE Design Suite Logic EdiTIon)针对采用赛灵思基础目标设计平台(Xilinx Base Targeted Design Platform)、主要关注逻辑和连接功能的设计人员而优化。这一版本包括: ISE Foundation、ISE Simulator、PlanAhead 设计和分析工具, ChipScope Pro 调试以及串行 I/O工具包、丰富的基础IP目录产品以及位流生成/器件编程工具。

• ISE设计套件DSP版本 (ISE Design Suite DSP Edition) 针对采用赛灵思DSP领域目标设计平台(Xilinx DSP Domain Targeted Design Platform)、主要面向算法、系统和硬件的设计人员而优化。这一版本包括: System Generator for DSP、AccelDSP 综合工具和DSP专用IP,以及逻辑版本中所包括的所有基础级FPGA设计工具和技术。

• ISE设计套件嵌入式版本(ISE Design Suite Embedded Edition)针对采用赛灵思嵌入式领域目标设计平台(Xilinx Embedded Domain Targeted Design Platform)的嵌入式系统设计人员(硬件和软件设计师)而优化。这一版本包括:带Platform Studio 设计套件的嵌入式开发套件 (EDK),目前作为独立产品提供的软件开发套件(SDK),包括MicroBlaze软处理器在内的嵌入式应用专用IP,以及逻辑版本中包括的所有基础级FPGA设计工具和技术。

• ISE设计套件系统版本(ISE Design Suite System Edition) 针对采用赛灵思连接领域目标设计平台(Xilinx Connectivity Domain Targeted Design Platform)的系统设计人员而优化。这一版本包括: 逻辑版本、DSP版本和嵌入式版本三个版本中包含的所有工具、技术和IP。

生产力更高,设计速度更快,设计结果更佳

在ISE 设计套件11.1版本中,赛灵思公司还改善了整个设计流程中不同工具间的通信,实现了所有设计配置间的无缝互操作,并采用了EDA行业标准的FLEXnet许可证管理解决方案来保证突破性的性能、功耗和成本优势。

嵌入式和DSP设计流程实现了更为紧密的集成,使得在单个系统中实现嵌入式、DSP、IP和专用模块更为容易。 新的多线程布局布线功能、SmartXplorer 和 ExploreAhead工具支持的分布式处理技术以及第二代SmartGuide 技术相结合可以将编程速度和增量修改时的运行速度提高一倍,加快时序收敛过程。设计流程中的每一步都针对每天进行更多次设计反复(more “turns per day”)进行了优化。 同时,ISE设计套件 11.1版本还采用了更先进的功率优化算法,并通过在所有配置的版本中整合全功能PlanAhead 设计和分析软件提供了无与伦比的设计可视性。 设计师能够更加高效地评估、分析和优化设计实施结果,从而获得更高的性能、更高的器件利用率和更佳的设计质量。

此外,ISE 设计套件 11.1版本的用户现在还拥有更大的灵活性来定制安装并监控使用情况。 赛灵思新采用的流动许可证管理方案允许在多个不同地点的多个用户访问同一个许可证,这样能够以更高的成本效率支持大型或分布式设计机构工作,并帮助降低总体项目成本。 同时,用户也可以选择使用结点锁定的许可证管理方式将软件使用限定在单台机器上。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21302

    浏览量

    593110
  • 赛灵思
    +关注

    关注

    32

    文章

    1794

    浏览量

    130510
  • 数字信号
    +关注

    关注

    2

    文章

    796

    浏览量

    47208

原文标题:赛灵思推出能提供FPGA设计工具和IP的ISE设计套件11.1

文章出处:【微信号:FPGAer_Club,微信公众号:FPGAer俱乐部】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    采用FPGA实现DisplayPort详细教程【内部资料】

    一些芯片制造商已针对上述应用推出了现成的标准发送器和接收机,而推出了名为 Xilinx LogiCORETMDisplayPort v
    发表于 03-01 11:10

    FPGA中使用ARM及AMBA总线

    国外的融合技术专家展示了一项基于FPGA的数据采集系统,用于合成孔径成像技术。采用了Xilinx ISE设计软件,支持ARM AMBA AXI4接口。文风犀利,观点新颖,FPGA中使用ARM及AMBA总线中不可多得的资料在
    发表于 03-01 15:48

    的开发环境ISE软件下载地址

    刚开始学FPGA,求他的ISE软件下载地址,我在网上没搜到。谢谢了
    发表于 08-02 09:52

    玩转FPGA (xilinx)FPGA设计大赛获奖名单!!!

    今后也会推出更多的设计大赛服务于广大的电子工程师及电子爱好者。  附:玩转FPGAFPGA
    发表于 09-06 11:54

    :“玩转FPGA (xilinx)FPGA设计大赛”获奖奖品展示

    FPGA设计大赛圆满结束。本活动的奖品由和华强PCB合力提供,在此电子发烧友网小编代表电子发烧友网感谢
    发表于 09-06 14:33

    Virtex-6 HXT FPGA ML630提供参考时钟电路图

    Virtex-6 HXT FPGA ML630评估套件采用SiTime电子发烧友振具体型号为:SIT9102AI-243N25E200
    发表于 11-17 15:07

    ISE® 设计套件11.1版对FPGA有什么优化作用?

    每一版本都提供了完整的FPGA设计流程,并且专门针对特定的用户群体(工程师)和特定领域的设计方法及设计环境要求进行了优化。那大家知道
    发表于 07-30 06:52

    如何利用28纳米工艺加速平台开发?

    一半,而性能提高两倍。通过选择一个高性能低功耗的工艺技术,一个覆盖所有产品系列的、统一的、可扩展的架构,以及创新的工具将最大限度地发挥 28 纳米技术的价值, 为客户
    发表于 08-09 07:27

    ISE 12设计套件FPGA生产力有什么影响?

    公司(Xilinx)最新推出ISE 12软件设计套件,实现了具有更高设计生产力的功耗和成
    发表于 08-20 08:33

    ISE 12设计套件FPGA有哪些影响?

    公司(Xilinx)最新推出ISE 12软件设计套件,实现了具有更高设计生产力的功耗和成
    发表于 11-08 08:27

    有哪几种ISE设计套件配置版本 ?

    有哪几种ISE设计套件配置版本 ?
    发表于 04-30 06:30

    如何使用FPGA加速包处理?

    FAST包处理器的核心功能是什么如何使用FPGA加速包处理?
    发表于 04-30 06:32

    FPGA CPLD设计工具——Xilinx ISE使用

    FPGACPLD设计工具——Xilinx ISE使用详解的主要内容:第1章 ISE系统简介第2章 工程管理器与设计输入工具第3章 Model
    发表于 07-24 16:06 197次下载

    赛灵思推出全新ISE 13设计套件

    赛灵思公司宣布推出 ISE 13设计套件。这款屡获殊荣的设计工具IP 套件新增了许多增强特性
    发表于 03-18 09:29 802次阅读

    赛灵思推出提供FPGA计工具IPISE设计套件11.1

    设计流程和工具配置。 该新版本为面向多种市场和应用的基于FPGA的片上系统解决方案提供了更简单、更智能的设计方法。赛灵思公司致力于为设计人员提供目标设计平台,而
    发表于 10-25 15:47 645次阅读