0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado防止信号被综合掉的三种方法

FPGA学习交流 2018-10-09 15:22 次阅读

1、 信号前面将keep hierarchy选择YES ,或者选择soft(在综合时保持层次),这样有利于你从模块中找到你想抓取的信号和信号名不被更改。

2、 信号前面使用 (* KEEP = “{TRUE|FALSE |SOFT}” *),可以防止信号被综合掉,但是无法防止在布局布线的时候优化掉。

3、 信号前面使用(* DONT_TOUCH= “{TRUE|FALSE}” *),可以防止信号在综合,以及布局布线的时候被优化掉。

注意: 右边的TRUE|FALSE必须要大写,否则会报错,无法识别TRUE|FALSE为1|0.







声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1599

    文章

    21273

    浏览量

    592820
收藏 人收藏

    评论

    相关推荐

    三菱M70 PLC增加注解的三种方法简析

    三菱M70 PLC增加注解的三种方法
    的头像 发表于 02-26 09:59 183次阅读
    三菱M70 PLC增加注解的<b class='flag-5'>三种方法</b>简析

    传统激光功率衰减的三种方法

    传统激光功率衰减通常使用以下三种方法,分别为中性密度衰减片衰减法、偏振衰减法、镀膜光学镜片衰减法。
    的头像 发表于 01-20 10:42 505次阅读
    传统激光功率衰减的<b class='flag-5'>三种方法</b>

    是否有更好的方法可以防止ADC烧坏?

    方法可以防止ADC烧坏 如果减法电路处的AD4622使用单电源工作,根据手册显示,正负输入最低电压耐受-0.3V,应该会被击穿,有什么办法可以在单电源供电下让这个减法电路在输出为负时防止
    发表于 01-15 06:02

    修复锂电池的三种方法

    电子发烧友网站提供《修复锂电池的三种方法.doc》资料免费下载
    发表于 11-15 10:40 0次下载
    修复锂电池的<b class='flag-5'>三种方法</b>

    增强无线网络信号三种方法

    电子发烧友网站提供《增强无线网络信号三种方法.doc》资料免费下载
    发表于 10-25 09:11 0次下载
    增强无线网络<b class='flag-5'>信号</b>的<b class='flag-5'>三种方法</b>

    三种方法实现串口接收不定长度的数据

    下面三种方式都亲测可用,实际使用时应采用第三种方法,更有效率。
    的头像 发表于 09-14 16:16 4205次阅读
    <b class='flag-5'>三种方法</b>实现串口接收不定长度的数据

    Vivado Design Suite用户指南:综合

    电子发烧友网站提供《Vivado Design Suite用户指南:综合.pdf》资料免费下载
    发表于 09-13 15:47 0次下载
    <b class='flag-5'>Vivado</b> Design Suite用户指南:<b class='flag-5'>综合</b>

    pwm产生的三种方法

    技术被广泛应用于电力电子器件(如IGBT、MOS)的控制中,也可以用于调节LED照明、伺服电机等应用中。本文将详细介绍PWM产生的三种方法。 一、比较式PWM 比较式PWM是最常见的PWM产生方法,它通过比较一个变量信号与一个固
    的头像 发表于 09-02 10:25 5797次阅读

    Vivado综合阶段什么约束生效?

    Vivado综合默认是timing driven模式,除了IO管脚等物理约束,建议添加必要的时序约束,有利于综合逻辑的优化,同时综合后的design里面可以评估时序。
    的头像 发表于 07-03 09:03 433次阅读

    芯片功能测试的五种方法

    芯片功能测试常用5种方法有板级测试、晶圆CP测试、封装后成品FT测试、系统级SLT测试、可靠性测试。
    发表于 06-09 16:25

    FPGA设计中如何防止信号被优化

    本文分别对quartus和vivado防止信号被优化的方法进行介绍。
    的头像 发表于 05-25 11:25 2006次阅读
    FPGA设计中如何<b class='flag-5'>防止</b><b class='flag-5'>信号</b>被优化

    【世说设计】噪声系数测量的三种方法

    本文介绍了测量噪声系数的三种方法:增益法、Y系数法和噪声系数测试仪法。这三种方法的比较以表格的形式给出。在无线通信系统中,噪声系数(NF)或者相对应的噪声因数(F)定义了噪声性能和对接
    的头像 发表于 05-19 10:38 591次阅读
    【世说设计】噪声系数测量的<b class='flag-5'>三种方法</b>

    噪声系数测量的三种方法

    本文介绍了测量噪声系数的三种方法:增益法、Y系数法和噪声系数测试仪法。这三种方法的比较以表格的形式给出。
    的头像 发表于 05-18 11:02 863次阅读
    噪声系数测量的<b class='flag-5'>三种方法</b>

    今日说“法”:如何防止reg、wire型信号在使用逻辑分析仪时优化

    于wire和reg型信号类型。 2、使用Synplify Pro综合 使用Synplify Pro综合防止信号
    发表于 05-16 17:48

    Vivado综合参数设置

    如果你正在使用Vivado开发套件进行设计,你会发现综合设置中提供了许多综合选项。这些选项对综合结果有着潜在的影响,而且能够提升设计效率。为了更好地利用这些资源,需要仔细研究每一个选项
    的头像 发表于 05-16 16:45 2080次阅读
    <b class='flag-5'>Vivado</b><b class='flag-5'>综合</b>参数设置