0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

时序分析基本概念之生成时钟详细资料介绍描述

数字后端IC芯片设计 来源:未知 作者:易水寒 2018-09-24 08:12 次阅读

今天我们要介绍的时序分析概念是generate clock。中文名为生成时钟。generate clock定义在sdc中,是一个重要的时钟概念。

它从master clock中取得的时钟定义。master clock就是指create_clock命令指定的时钟产生点,如图所示:

我们可以用如下命令来描述generated clocks:

#定义master clock

create_clock -name CLKP -period 10 \

-waveform {0 5} [get_pins UPLL0/CLKOUT]

#在Q点定义generated clock

create_generated_clock -name CLKPDIV2 \

-source UPLL0/CLKOUT -add \

-master_clockCLKP -divide_by 2 [get_pins UFF0/Q]

一般我们把时钟的源头会定义成create_clock,而分频时钟则会定义为create_generated_clock. 两者的主要区别在于CTS步骤,generated clock并不会产生新的clock domain, 而且定义generated clock后,clock path的起点始终位于master clock, 这样source latency并不会重新的计算。

上图中描述,如果我们给PLLCLK出来的三个分频点定义为generate clock,则在trace clock tree时,工具会穿过这三个点,并不会产生新的clock,对工具来说,它会balance所有clock sink。这种情况下,clock tree通常会做得比较长一些。

相反,如果我们给这三个分频点定义为create_clock,则在trace clock tree时,这三个点会生成各自新的clock tree,属于他们自己的sink会分别做balance,但是相互之间会不做balance。而且它本身的input clock pin也会被当成PLLCLK的sink进行balance。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 时序分析
    +关注

    关注

    2

    文章

    126

    浏览量

    22468
  • CTS
    CTS
    +关注

    关注

    0

    文章

    34

    浏览量

    13886

原文标题:时序分析基本概念介绍

文章出处:【微信号:IC_Physical_Design,微信公众号:数字后端IC芯片设计】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    时序分析中的一些基本概念

    时序分析是FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些
    发表于 10-21 09:28 1377次阅读

    时序分析中的一些基本概念

    时序分析时FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些
    发表于 02-11 19:08 3974次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>中的一些<b class='flag-5'>基本概念</b>

    时序分析基本概念介绍——时序库Lib,除了这些你还想知道什么?

    时序分析基本概念介绍——时序库Lib。用于描述物理单元的时序
    的头像 发表于 12-15 17:11 1.1w次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>——<b class='flag-5'>时序</b>库Lib,除了这些你还想知道什么?

    详细介绍时序基本概念Timing arc

    时序分析基本概念介绍——Timing Arc
    的头像 发表于 01-02 09:29 2.4w次阅读
    <b class='flag-5'>详细</b><b class='flag-5'>介绍</b><b class='flag-5'>时序</b><b class='flag-5'>基本概念</b>Timing arc

    详细介绍下与时钟相关的命令

    时序分析基本概念介绍——时钟sdc。
    的头像 发表于 01-27 09:18 6583次阅读

    时序分析基本概念ETM的详细介绍及如何应用的资料概述

    今天我们要介绍时序分析概念是ETM。全称extracted timing model。这是在层次化设计中必须要使用的一个时序模型文件。由b
    的头像 发表于 09-24 19:30 1.6w次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>的<b class='flag-5'>基本概念</b>ETM的<b class='flag-5'>详细</b><b class='flag-5'>介绍</b>及如何应用的<b class='flag-5'>资料</b>概述

    时序分析时序约束的基本概念详细说明

    时序分析时FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些
    发表于 01-08 16:57 28次下载
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>和<b class='flag-5'>时序</b>约束的<b class='flag-5'>基本概念</b><b class='flag-5'>详细</b>说明

    FPGA设计中时序分析基本概念

    时序分析时FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些
    的头像 发表于 03-18 11:07 2126次阅读

    静态时序分析基本概念和方法

    向量和动态仿真 。本文将介绍静态时序分析基本概念和方法,包括时序约束,时序路径,
    的头像 发表于 06-28 09:38 788次阅读
    静态<b class='flag-5'>时序</b><b class='flag-5'>分析</b>的<b class='flag-5'>基本概念</b>和方法

    介绍时序分析基本概念lookup table

    今天要介绍时序分析基本概念是lookup table。中文全称时序查找表。
    的头像 发表于 07-03 14:30 738次阅读
    <b class='flag-5'>介绍</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>的<b class='flag-5'>基本概念</b>lookup table

    时序分析基本概念介绍&lt;Latency&gt;

    今天要介绍时序分析基本概念是Latency, 时钟传播延迟。主要指从Clock源到时序组件Cl
    的头像 发表于 07-04 15:37 1442次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&lt;Latency&gt;

    介绍时序分析基本概念MMMC

    今天我们要介绍时序分析基本概念是MMMC分析(MCMM)。全称是multi-mode, multi-corner, 多模式多端角
    的头像 发表于 07-04 15:40 1564次阅读
    <b class='flag-5'>介绍</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b>MMMC

    时序分析Slew/Transition基本概念介绍

    今天要介绍时序分析基本概念是Slew,信号转换时间,也被称为transition time。
    的头像 发表于 07-05 14:50 1643次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>Slew/Transition<b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>

    时序分析基本概念介绍—Timing Arc

    今天我们要介绍时序基本概念是Timing arc,中文名时序弧。这是timing计算最基本的组成元素,在昨天的lib库介绍中,大部分
    的头像 发表于 07-06 15:00 1539次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>—Timing Arc

    时序分析基本概念介绍&lt;Virtual Clock&gt;

    今天我们介绍时序分析基本概念是Virtual Clock,中文名称是虚拟时钟
    的头像 发表于 07-07 16:52 771次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&lt;Virtual Clock&gt;