0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

采用FPGA实现误码测试仪设计

电子设计 作者:电子设计 2018-10-07 11:46 次阅读

引言

无源光网络PON以其独特的优势在网络中已经规模化地应用。由于GPON系统在现有PON系统中带宽利用率最高,系统成本最低,且具有全业务支持能力,因此其前景被普遍看好,成为众多电信运营商和设备制造商推崇的宽带接入技术。

在GPON中,下行数据是以广播形式发送的,上行数据由多个用户终端按时分多址的方式发送的数据包组成。因传输路径不同,各数据包有不同衰减,不同数据包相位间存在跳变,数据包中存在长连“1”、“0”,这些因素的影响使得OLT突发接收模块接收的信号是特殊的突发光信号。对于上行的突发信号,OLT突发接收模块不仅要从中恢复出幅值相等的信号,而且要消除相位突变,即完成时钟和相位的对齐,因此OLT输出的信号应该为幅值相等且时钟和相位对齐的电信号。本突发模式误码测试仪的作用是,准确地判断被测的OLT突发接收模块的完成幅值恢复响应时间和其可靠性。

1 突发模式误码测试原理

与一般连续误码测试仪相同的是,突发误码测试仪也由发送和接收两部分组成。发送部分发送可预知的信号作为测试信号来模拟实际信道中传输信号,并将该信号送到待测设备中;接收部分产生与发送部分相同的信号,用以和接收的信号逐位比对,并统计误码数和误码率。

与一般连续误码测试仪不同的是,突发误码测试仪发送部分发送的测试信号要模拟突发信号,即具有相位突变和幅度不均衡的特点。此外,接收部分要从接收到的可能存在相位跳变信号中准确地提取时钟和恢复数据。

根据突发误码测试仪的特点,其总体设计框图如图1所示。

采用FPGA实现误码测试仪设计

突发接收模块(BMRx)是要测试的模块。突发误码测试仪用FPGA逻辑来实现两路时分复用(TDMA)数据的输出,两路数据先分别通过光可变衰减器不同幅度的衰减后,再经过不同长度的光纤的时延,最后经过光合路器合为1路信号输出。光合路器的输出数据近乎于实际GPON中的上行突发信号,且假定信号在经过这些路径后没有出现误码。模拟的突发信号在经过待测突发接收模块后,经过包分离电路提取1路包数据(ON-U#1或ONU#2),该路包数据最后经过突发模式时钟数据恢复芯片提取出时钟和4路并行数据。FPGA将提取的时钟作为误码比对的源时钟,并将4路并行数据重构造后与本地产生的数据进行比对,统计误码。

2 FPGA中逻辑功能模块设计

作为实现突发模式误码测试仪的重要芯片,FPGA主要实现如下功能:

①发送端产生两路高速的时分复用信号,这两路信号要具有GPON上行数据包的特点,即32位保护时间,44位前导码,20位定界符。

②接收部分将接收到的4位宽的数据并化为8位宽的数据,并搜寻定界符将接收的数据进行边界对齐。

③误码检测器将接收到的边界对齐后的数据与本地产生的伪随机码进行比对,仅对有效数据中出现的误码进行统计。

④同步检测,失步后的重新同步。

图2为FPGA中实现的主要逻辑功能模块。

采用FPGA实现误码测试仪设计

①控制信号译码器根据Microblaze微处理器通过GPIO_IN输入的地址信息,将控制信息赋值给误码测试逻辑模块相应的控制信号。控制信息主要包括:包长度、保护时间长度、前导码长度、码型选择、GTP属性的DRP地址和值、时钟合成芯片的控制信息等。

②状态编码器将误码测试模块的状态信息存储映射到不同地址的GPIO_OUT上,然后传送给Microblaze微处理器。输出的状态信息主要包括:误码比特数、接收到的总码数、同步状态、接收无信号等。

③码型产生器模块包含PRBS产生器和数据包头产生器2个子模块。PRBS产生器根据码型选择控制信号产生相应码型的8位宽度伪随机序列,数据包头产生器模拟GPON上行数据包包头结构的特点中产生类似前导码和定界符的码型。码型产生器模块还包含1个数据包封装有限状态机,它的主要作用是产生发送码状态的控制信号,将包头数据、包间隔(保护时间)、CID(长连O/1)穿插在PRBS中以模拟GPON上行数据。包含两路包信号的数据txdata在与包分离信号相与后,分离成两路时分复用的信号txdata0和txdatal,时序如图3所示。

采用FPGA实现误码测试仪设计

④GTP0和GTPl为FPGA芯片的固核。它将低速的8位宽度的并行数据txdatal和txdata2串化为1路高速的串行数据,可以通过修改GTP的DRP属性来改变发送数据的速率。GTP还负责向发送端提供同步时钟。

⑤数据重构模块将接收到的4位宽的数据并化为8位宽的数据,并搜寻16位定界符将接收的数据进行边界对齐。

⑥误码检测器主要由1个本地伪随机序列产生器、1个接收状态机和1个同步检测状态机构成。本地伪随机序列产生器与发送端的随机序列产生器阶数和本原多项式相同,它生成的伪随机数据与接收到的数据进行比对,对比的结果由误码计数器进行统计。接收状态机根据定界符检测信号和包长(包1或包2)计数器来判断接收的数据是否为有效数据,并生成一个有效数据指示信号。同步检测状态机根据比对结果判断本地随机序列产生器生成的数据与接收到的数据是否已经同步,如果没有同步,本地伪随机序列产生器将从接收的数据中截取32位的连续信号作为其移位寄存器的初始值来产生后面的数据以重新同步(灌码同步)。

⑦误比特计数器用来统计误比特数,它仅对有效数据中出现的误码进行统计。接收字计数器用来统计接收到的有效数据字节数。

3 控制系统设计

本设计中使用Microblaze嵌入式软核处理器来实现对误码测试仪逻辑部分的控制,控制部分的硬件框图如图4所示。GPIO1用于处理器与BERT核的通信;GPIO2与LED和拨码开关相连,用于显示状态和板级控制误码测试仪;GPl03与LCD相连,将误码测试结果显示于LCD上;count-er 64为64位宽的计数器,用于记时。UART通过RS232电脑相连,读取在PC上设定的控制信息并将误码测试结果和误码仪的状态详细地显示在PC上。

采用FPGA实现误码测试仪设计

控制程序包含的函数主要有GPIO驱动、UART驱动、LCD驱动、GTP DRP属性的读改写函数、BERT的控制和状态读取函数、误码率计算函数、主函数等。主函数提供一个用户与误码测试仪交互的平台,其流程如图5所示。

采用FPGA实现误码测试仪设计

在上电或复位后,系统初始化LCD和UART,并加载上次保存的用户设置以初始化BERT。然后进入主菜单,主菜单上可以通过选择相应选项进入相应的操作。通过读取误码测试加载的上次保存的用户设置,核对本次用户需要的设置是否与上次保存的设置相同,如果不同可以返回主菜单,从主菜单进入相应的设置操作,进行参数的修改。修改完毕后,如果用户要保存本次设置,可以进行保存再返回主界面;如果不需要保存,则直接返回主界面。从主界面上可以选择误码测试显示进入误码测试结果显示界面,在显示误码测试结果前,控制程序会先进行计算误码率,以保证实时显示误码测试结果。

4 系统验证与设计总结

为了验证系统的性能,分别用该仪器做了自环测试和对1.25 GHz GPON系统突发式光接收模块的误码测试。在自环测试中,发送的数据不通过光路直接送到BMCDR的接收端。测试结果表明,在电信号信道中误码测试系统自身不会误码。在对1.25 GHz GPON系统突发式光接收模块测试过程中,采用两个步骤的测试。步骤1中,按图1连接误码测试系统,两路数据包信号0UN#1和0UN#2都不经过可变光衰减器的衰减,经光合路器合路后的光信号中所有包信号幅值一致,用以验证误码测试系统光路中是否产生误码,实验结果同样验证了误码测试系统自身不会产生误码。步骤2中,对一路数据包信号进行不同幅度的衰减,使光合路器输出的光信号存在幅值的跳变,并在两路数据包信号存在不同幅值跳变的情况下,分别测试突发式光接收模块的误码性能。试验中使用的被测突发式光接收模块强包弱包幅度相差20 dB,保护时间为32位,速率为1.248 8 Gbps的情况下误码率低于10-12。,说明此误码测试系统具有较好的性能。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1601

    文章

    21296

    浏览量

    593081
  • 芯片
    +关注

    关注

    446

    文章

    47728

    浏览量

    409019
  • 测试仪
    +关注

    关注

    6

    文章

    3202

    浏览量

    53784
收藏 人收藏

    评论

    相关推荐

    怎么设计智能误码测试仪

    实际工作中,常常需要误码测试多种信道。但是目前市面上所销售的误码大多只能测试电信部门的标准
    发表于 08-20 07:24

    怎样去设计解调误码测试仪

    预失真技术是什么?怎样去设计解调误码测试仪
    发表于 04-28 07:11

    求大神分享一种高速突发模式误码测试仪FPGA实现方案

    求大神分享一种高速突发模式误码测试仪FPGA实现方案
    发表于 04-29 06:58

    基于FPGA的RS485接口误码测试仪的设计怎么实现

    本文即介绍了一种基于FPGA的RS485接口误码测试仪的设计和实现。该设计具有系统简单、功能可靠、接口独特等特点,并且增加了传统误码
    发表于 05-06 06:53

    求一款2M误码测试仪的设计方案

    本文给出了基于Altera公司的cyclone系列FPGA芯片EP1C12-240PQFP的2M误码测试仪的设计方案。
    发表于 05-06 08:32

    求一种基于FPGA误码测试仪的方案

    本文提出了一种基于FPGA误码测试仪的方案,使用一片Altera公司的Cyclone系列的FPGA(EP1C6-144T)及相关的外围电路,实现
    发表于 05-08 06:13

    Agilent N4906B新型串行误码测试仪/N4906B串行误码测试仪

    为了满足降低生产测试成本的需要,同时向最终用户提供可升级以及高性能的测试设备,安捷伦科技在其串行误码测试仪产品N4900系列中又推出了低成本、高性能产品-N4906B
    发表于 07-03 14:34

    Agilent N4906B新型串行误码测试仪/N4906B串行误码测试仪

    为了满足降低生产测试成本的需要,同时向最终用户提供可升级以及高性能的测试设备,安捷伦科技在其串行误码测试仪产品N4900系列中又推出了低成本、高性能产品-N4906B
    发表于 07-03 14:34

    基于FPGA误码测试仪的设计与实现

    本文提出了一种使用FPGA 实现误码测试的设计及实现方法。该设计可通过FPGA 内建的异步串行
    发表于 06-26 17:32 55次下载

    基于FPGA的2M误码测试仪设计

    基于FPGA的2M误码测试仪设计  0 引言   无论是何种通信新业务的推出和运营,都离不开强力有效且高可靠的传输系统。随之而带来的问题就是如何对系统的传
    发表于 12-09 10:19 1380次阅读
    基于<b class='flag-5'>FPGA</b>的2M<b class='flag-5'>误码</b><b class='flag-5'>测试仪</b>设计

    基于FPGA的智能误码测试仪

    基于FPGA的智能误码测试仪  实际工作中,常常需要误码仪能测试多种信道。但是目前市面上所销售的误码
    发表于 12-19 17:45 1010次阅读
    基于<b class='flag-5'>FPGA</b>的智能<b class='flag-5'>误码</b><b class='flag-5'>测试仪</b>

    基于FPGA的新型误码测试仪的设计与实现

    基于FPGA的新型误码测试仪的设计与实现 本文设计实现了一种用于测量基带传输信道的误码仪,阐述
    发表于 02-09 10:42 889次阅读
    基于<b class='flag-5'>FPGA</b>的新型<b class='flag-5'>误码</b><b class='flag-5'>测试仪</b>的设计与<b class='flag-5'>实现</b>

    基于FPGA的高速误码测试仪的设计

    误码测试仪是检测通信系统可靠性的重要设备。传统的误码测试仪基于CPLD和CPU协同工作,不仅结构复杂,价格昂贵,而且不方便携带。基于FPGA
    发表于 05-06 16:03 42次下载
    基于<b class='flag-5'>FPGA</b>的高速<b class='flag-5'>误码</b><b class='flag-5'>测试仪</b>的设计

    一种基于FPGA的新型误码测试仪的设计与实现

    误码仪是评估信道性能的基本测量仪器。本文介绍的误码仪结合FPGA 的特点,采用全新的积分式鉴相结构,提出了一种新的误码
    发表于 05-02 14:31 821次阅读
    一种基于<b class='flag-5'>FPGA</b>的新型<b class='flag-5'>误码</b><b class='flag-5'>测试仪</b>的设计与<b class='flag-5'>实现</b>

    关于FPGA误码测试仪研究与设计

    误码率是反映数据传输设备及其信道工作质量的一个重要指标。作为通信系统的可靠性测量工具,误码测试仪广泛地
    的头像 发表于 04-22 15:01 3115次阅读
    关于<b class='flag-5'>FPGA</b>的<b class='flag-5'>误码</b><b class='flag-5'>测试仪</b>研究与设计