0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

采用FPGA器件实现DDS波形发生器的设计

电子设计 来源:郭婷 作者:电子设计 2019-04-24 08:30 次阅读

1.引言

DDS频率合成器具有频率分辨率高,输出频点多,可达2N个频点(假设DDS相位累加器的字长是N);频率切换速度快,可达us量级;频率切换时相位连续的优点,可以输出宽带正交信号,其输出相位噪声低,对参考频率源的相位噪声有改善作用;可以产生任意波形;全数字化实现,便于集成,体积小,重量轻。

本文介绍了DDS的基本原理,同时针对DDS波形发生器的FPGA实现进行了简要介绍,利用SignalTapII嵌入式逻辑分析仪对正弦波、三角波、方波、锯齿波进行仿真验证。

2.DDS波形发生器的FPGA实现

FPGA的应用不仅使得数字电路系统的设计非常方便,而且它的时钟频率已可达到几百兆赫兹,加上它的灵活性和高可靠性,非常适合用于实现波形发生器的数字电路部分。使用FPGA设计DDS电路比采用专用DDS芯片更为灵活,只需改变FPGA中的ROM数据,DDS就可以产生任意波形,具有相当大的灵活性。

2.1 FPGA设计流程

FPGA的设计框图如图1所示,FPGA的主要功能是:产生与外围电路的接口电路,使其能够接受外围逻辑控制信号;保存频率字,并构成相位累加器,产生与主时钟相同频率的RAM寻址字;用内部的存储块构成存放多种波形数据的ROM,并通过相应的控制线进行选择;构造出两个多波形选择输出的输出通道,其中的一路通道可具备移相功能;用内部的PLL倍频外部低频晶振,并输出与主时钟同频的时钟,驱动片外高速D/A.

采用FPGA器件实现DDS波形发生器的设计

2.2 时钟模块

根据耐奎斯特采样定理要得到输出频率为10MHz的信号,其所输入的信号时钟频率必须达20MHz以上。采样频率越高,输出波形的平坦度越好,同时波形的的采样点数也越多,那么获得的波形质量也就越好。本设计中的DDS模块是一高速模块,所以对系统时钟就有很高的要求,不仅需要有较高的频率,而且还要有非常高的稳定性,如果在FPGA的时钟端直接加一高频晶振,不仅时钟不稳定,而且功耗大,费用高,在本设计中,直接调用Altera公司的PLL核,在FPGA时钟端只需加一低频晶振,通过FPGA内部PLL倍频达到系统时钟要求,输出的时钟相位偏移在允许范围内。

2.3 DDS控制模块

(1)频率控制字输入模块

频率控制字输入模块如图2所示,数据选择器控制输入16位频率控制字。

采用FPGA器件实现DDS波形发生器的设计

(2)步进频率控制模块

步进频率控制模块如图3所示,通过一个乘法器来控制步进频率,具体算法如下:f步进=fc*2147/232.

采用FPGA器件实现DDS波形发生器的设计

通过改变乘法器的乘数来改变步进频率。要使步进为1Hz那么乘法器的乘数为22.

(3)频率累加器

频率累加器模块如图4所示,通过一个32位加法器跟32位寄存器构成频率累加器,频率控制字高4位为0.

采用FPGA器件实现DDS波形发生器的设计

(4)相位寄存器

相位寄存器模块如图5所示,通过一个8位加法器跟8位寄存器构成相位寄存器并产生8位波形数据地址。

采用FPGA器件实现DDS波形发生器的设计

(5)波形存储器设计

波形数据ROM就是存放波形数据的存储器,大多波形发生器产品都将波形数据存放在外部的ROM中,这样使得各部分结构清晰,测试、维护更加方便但由于ROM本身读取速度慢的缺点,使得整个系统性能下降,工作频率下降,为了解决以上问题,本设计使用的是用FPGA设计出ROM,在FPGA中存放波形数据,使用Quartus II9.0中的Mega Wizard Plug-In Manager来生成一个ROM,如图6所示。

采用FPGA器件实现DDS波形发生器的设计

Mega Wizard Plug-In Manager的设置,根据设计的要求,经过七步的设置,就可以生成一个ROM的IP核。当在波形ROM中固化所需波形的一个周期的幅度值后,由地址发生器产生的地址对波形ROM寻址,依次可取出送至D/A转换及滤波后即可得到所需的模拟波形输出。计算波形数据可以有两种方法:C语言matlab计算。

3.仿真实验结果

按照第2节的系统设计,设计程序下载到FPGA芯片,使用QuartusII软件自带SignalTapII嵌入式逻辑分析进行仿真,观察信号波形图,正弦波如图7,三角波如图8。

采用FPGA器件实现DDS波形发生器的设计

采用FPGA器件实现DDS波形发生器的设计

4.总结

经实验结果表明,通过DDS技术合成的波形具有良好的稳定性,易于控制和调节,利用FPGA能在很短时间内快速构建任意波形,提高了设计效率,具有实际应用价值。


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21309

    浏览量

    593123
  • 发生器
    +关注

    关注

    3

    文章

    1291

    浏览量

    60849
  • DDS
    DDS
    +关注

    关注

    21

    文章

    614

    浏览量

    151726
收藏 人收藏

    评论

    相关推荐

    基于DDS原理设计信号发生器的方案

    信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器
    的头像 发表于 10-19 11:50 5377次阅读
    基于<b class='flag-5'>DDS</b>原理设计信号<b class='flag-5'>发生器</b>的方案

    FPGA参赛作品】基于FPGA的简易DDS信号源设计

    发生器。函数信号发生器实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑
    发表于 05-12 23:01

    采用DDS芯片AD9854实现多路任意波形发生器设计

    基于DDS的多路任意波形发生器的设计与实现.pdf(303.13 KB)
    发表于 04-24 06:13

    如何利用FPGA设计DDS信号发生器

    DDS的工作原理和基本结构基于FPGADDS信号发生器的设计如何建立顶层模块?
    发表于 04-09 06:46

    怎么利用FPGA设计基于DDS的信号发生器

    本文在讨论DDS的基础上,介绍利用FPGA设计的基于DDS的信号发生器
    发表于 05-06 09:54

    怎么实现基于FPGA+DDS的正弦信号发生器的设计?

    介绍了DDS的发展历史及其两种实现方法的特点,论述了DDS的基本原理,并提出一种基于FPGADDS信号
    发表于 05-11 06:58

    基于FPGADDS信号源设计与实现

    基于FPGADDS信号源设计与实现 利用DDSFPGA 技术设计一种信号发生器.介绍了该
    发表于 02-11 08:48 223次下载

    基于DDS波形发生器设计

    基于DDS波形发生器设计 0 引 言    随着信息技术的发展及测试对象不断丰富,现代电子系统对波形发生器也提出了更高的要求。传统的
    发表于 01-27 10:49 1612次阅读

    基于FPGADDS波形信号发生器的设计

    设计采用Altera公司CycloneII系列EP2C5Q208作为核心器件采用直接数字频率合成技术实现了一个频率、相位可控的基本信号发生器
    发表于 01-22 14:45 472次下载
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>DDS</b><b class='flag-5'>波形</b>信号<b class='flag-5'>发生器</b>的设计

    基于DDS的可编程的波形发生器

    给予DDS可编程的波形发生器,对三个不同频段的波形信号进行分析
    发表于 11-02 17:22 3次下载

    基于vhdl的DDS设计简单的波形发生器

    基于vhdl的DDS设计 简单的波形发生器 可以产生正弦波,方波,三角波,锯齿波
    发表于 01-12 17:55 30次下载

    DDS多波信号发生器实现

    详细介绍了直接数字频率合成器(DDS)的工作原理、基本结构。在参考DDS 相关文献的基础上,提出了符合结构的DDS 设计方案,利用DDS 技术设计了一种高频率精度的多
    发表于 11-22 14:35 0次下载

    基于Verilog实现DDS任意波形发生器

    DDS是从相位的概念直接合成所需波形的一种频率合成技术。不仅可以产生不同频率的正弦波,而且可以控制波形的初始相位。本文为大家介绍基于Verilog实现
    发表于 01-08 11:58 6490次阅读
    基于Verilog<b class='flag-5'>实现</b>的<b class='flag-5'>DDS</b>任意<b class='flag-5'>波形</b><b class='flag-5'>发生器</b>

    基于FPGA和DAC设计的dds发生器

    基于FPGA和DAC设计的dds发生器(普德新星电源技术有限公司的LoGo)-该文档为基于FPGA和DAC设计的dds
    发表于 09-16 12:09 40次下载
    基于<b class='flag-5'>FPGA</b>和DAC设计的<b class='flag-5'>dds</b><b class='flag-5'>发生器</b>

    基于FPGADDS正弦信号发生器的设计和实现

    电子发烧友网站提供《基于FPGADDS正弦信号发生器的设计和实现.pdf》资料免费下载
    发表于 03-24 09:34 3次下载