0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于VHDL的交通灯控制器设计方案、源程序与仿真分析介绍

电子设计 作者:电子设计 2018-11-14 10:59 次阅读

应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果。

1 系统功能与要求

交通灯控制器控制两个主干道交叉路口的交通,路口车辆多,直行信号、左转弯信号分开显示,a,b两个主干道的通行时间相等,其中指示直行的绿灯亮30 s,指示左转弯的绿灯亮12 s,绿灯变至红灯时,黄灯亮3 s,以便于车辆能停在停车线内,红灯信号的最后3 s相应的黄灯也同时亮,以便提示驾驶人员准备起步。在两个主干道路口都配备传感器用来检测有无车辆通行。当两个主干道都有车辆时,自动处于主干道a绿灯,主干道b红灯的状态,然后轮流切换通行。当主干道a无车辆时,自动处于主干道b绿灯,主干道a红灯的状态;反之亦然,以提高通行效率。

2 设计与仿真

根据交通灯控制器的功能与要求,将其总体电路分为分频器、信号控制器两个模块。外部脉冲振荡器的频率选为32 768 kHz,经分频器分频得1 Hz的信号,1 Hz信号用做信号控制器的计数脉冲,用VHDL设计组成交通灯控制器的分频器、信号控制器两个模块,在QuartusⅡ开发平台上,分别编译两个模块的VHDL程序,然后用原理图输入法形成图1所示的总体框图。

基于VHDL的交通灯控制器设计方案、源程序与仿真分析介绍

其中信号控制器的VHDL程序如下:

基于VHDL的交通灯控制器设计方案、源程序与仿真分析介绍

基于VHDL的交通灯控制器设计方案、源程序与仿真分析介绍
基于VHDL的交通灯控制器设计方案、源程序与仿真分析介绍
基于VHDL的交通灯控制器设计方案、源程序与仿真分析介绍


基于VHDL的交通灯控制器设计方案、源程序与仿真分析介绍

其中,Sa,Sb分别是a,b路口传感器的信号,aR,aY,aG,aLR,aLY,aLG分别代表控制主干道a的直行红灯、黄灯、绿灯,左转弯红灯、黄灯、绿灯的信号;bR,bY,bG,bLR,bLY,bLG分别代表控制主干道b的直行红灯、黄灯、绿灯,左转弯红灯、黄灯、绿灯的信号;aPR,aPG,bPR,bPG是人行道信号,enl是使能信号。enl=0时a,b两路口红灯同时亮,便于处理特殊情况。用QuartusⅡ对程序编译、仿真,得到的仿真波形如图2所示,经程序下载、实验验证,系统功能符合要求。

基于VHDL的交通灯控制器设计方案、源程序与仿真分析介绍

基于VHDL设计交通灯控制器,外围电路少、功耗低、可靠性高,便于系统功能的修改,设计效率高。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 控制器
    +关注

    关注

    112

    文章

    15130

    浏览量

    170825
  • 仿真
    +关注

    关注

    50

    文章

    3870

    浏览量

    132123
  • vhdl
    +关注

    关注

    30

    文章

    814

    浏览量

    127658
收藏 人收藏

    评论

    相关推荐

    VHDL交通灯

    (1)该交通灯控制器应具备的功能设东西和南北方向的车流量大致相同,因此红、黄、绿灯的时长也相同,定为红灯45sec,黄灯5sec,绿灯40sec,同时用数码管指示当前状态(红、黄、绿)剩余时间。另外
    发表于 12-01 16:45

    基于EWB软件的交通灯控制器仿真

    基于EWB软件的交通灯控制器仿真一、实验目的1. 掌握EWB5.0的基本操作方法。2. 熟悉各种常用MSI时序逻辑电路功能和使用方法;掌握多片MSI时序逻辑电路级联和功能扩展技术;学会MSI数字电路
    发表于 10-11 08:50

    单片机控制交通灯设计方案

    单片机控制交通灯设计方案
    发表于 08-20 16:46

    自己写交通灯程序仿真

    自己写交通灯程序仿真
    发表于 03-28 20:46

    交通灯控制器的设计

    AT89C51单片机交通灯控制器的设计 (1)加强对单片机控制的基础理论知识,理论运用于实际,掌握单片机设计的基本方法、步骤。(2)掌握MSC51系列单片机芯片各个端口功能、运用。(3)研究
    发表于 04-16 10:48

    VHDL交通控制器的设计与分析

    的CPLD/FPGA来实现,经分析设计要求,拟定整个系统由9个单元电路组成,如图所示。 ppt内容预览: (1) 在交通灯控制电路JTDKZ的设计中,利用状态机非常简洁地实现了对主、支干道指示
    发表于 07-03 08:42

    基于VHDL怎么实现交通灯控制器

    应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种
    发表于 10-18 07:21

    求一款设计交通灯控制器方案

    求一款设计交通灯控制器方案?并给出源程序仿真结果。
    发表于 04-08 06:49

    如何设计一款基于VHDL交通灯控制器方案

    本文介绍一种基于VHDL设计的交通灯控制器方案,并给出源程序
    发表于 04-28 06:54

    如何对基于51单片机交通灯程序进行设计与仿真

    、转向等功能。并结合交通灯,设计了人行道。采用STC89C51芯片作为中心期间来设计交通灯控制电路,结合七段共阴极数码管显示时间的模块、交通灯
    发表于 11-09 08:46

    基于FPGA的交通灯控制器实现

    传统交通灯控制器多数由单片机或PLC 实现。本论文介绍一种用FPGA 实现交通灯控制器的设计方法。关键词:FPGA;
    发表于 06-12 11:12 90次下载

    基于单片机的交通灯信号控制器设计(含源程序和电路图)

    基于单片机的交通灯信号控制器设计(含源程序和电路图) 设计一个基于单片机的交通灯信号控制器。已知东、西、
    发表于 05-31 15:15 1.4w次阅读
    基于单片机的<b class='flag-5'>交通灯</b>信号<b class='flag-5'>控制器</b>设计(含<b class='flag-5'>源程序</b>和电路图)

    基于VHDL交通灯控制器设计

     应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一
    发表于 05-22 16:33 5764次阅读
    基于<b class='flag-5'>VHDL</b>的<b class='flag-5'>交通灯</b><b class='flag-5'>控制器</b>设计

    基于proteus的交通灯仿真源程序

    基于proteus的交通灯仿真源程序,供大家下载使用
    发表于 11-17 16:59 76次下载

    天煌教仪三菱PLC教学源程序 交通灯

    三菱PLC(可编程逻辑控制器)编程实例项目例程——天煌教仪三菱PLC教学源程序 交通灯
    发表于 11-08 16:03 23次下载