0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

VHDL-AMS的特性、仿真分析与在控制系统中的应用

电子设计 作者:电子设计 2018-11-14 08:03 次阅读

1 引 言

EDA是现代电子系统设计的关键技术。硬件描述语言VHDL以其“代码复用”(code re-use)远高于传统的原理图输入法等诸多优点,逐渐成为EDA技术中主要的输入工具。然而,基于IEEE VHDL Std 1076-1993标准的VHDL只用于描述数字电路。因而从理论的完整性和方法的统一性方面来说,这无疑是一个缺点。为此,IEEE于1999年发布了IEEE VHDL Std1076.1标准,扩展了VHDL对模拟电路及混合信号系统的描述和仿真能力。1076标准和1076.1标准所定义的硬件描述语言,称为VHDL-AMS

PID控制是最早发展起来的控制策略之一,其算法简单、鲁棒性好、适用性强,广泛应用于过程控制和运动控制中,特别适用于可建立精确数学模型的确定性系统中。

本文介绍VHDL-AMS的新概念和新特性。通过对PID控制原理进行数学分析,建立PID控制器的数学模型,实现PID控制器的VHDL-AMS行为级建模,并进行仿真分析。

2 VHDL-AMS的新特性

集总参数连续时间系统的行为描述通常是用微分/代数方程组来进行描述,即:

F(x,dx/dt,t)=0

其中F是表达式的向量形式,x是未知变量的向量形式,dx/dt是未知变量的向量形式的导数(包括一阶导数和高阶导数)。在VHDL-AMS语言中新增的第一个概念是用于定义表示微分/代数方程组中的未知量的关键字量(Quantity)。量是浮点的标量类型,在VHDL-AMS中可以在任何可以用信号signal描述的地方出现。

VHDL-AMS中新增加的第二个概念是端点(terminal),用于定义守恒系统端口的端点及内部的端点。端点可以在任何可以用信号signal描述的地方使用,而且端点还可以作为实体的接口允许在PORT语句中使用。

IEEE Std VHDL 1076.1补充了一类新语句,即用于描述连续系统行为的联立语句。联立语句的格式为:

[标号:]表达式==表达式

联立提供了表示微分/代数方程的方法,可以直接描述系统输入、输出间的关系或守恒系统中的支路方程。通过求解联立语句中的微分/代数方程组,从而解出满足方程组的量的解。

另外,在VHDL 1076的基础上,VHDL-AMS新增了16种预定义属性,可以分为四类:数据类型类、容差类、量类和信号类。这些新增的预定义属性使得VHDL-AMS具有更为强大的行为描述能力。例如,预定义属性LTF(num,den)/ZTF(num,den)用于建立模拟/离散量的Laplace/Z域传递函数,其中num、den分别为分子、分母多项式的系数。LTF/ZTF属性对主要以传递函数为分析和设计的控制系统建模变得非常方便。

3 VHDL-AMS控制系统分析和设计的应用

在模拟控制系统中,控制器最常用的控制规律是PID控制。模拟PID控制系统原理框图如图1所示。系统由模拟PID控制器和被控对象组成。

VHDL-AMS的特性、仿真分析与在控制系统中的应用

PID控制器主要由三部分组成:比例环节,积分环节和微分环节。其中比例环节反映控制系统的偏差信号e(t),偏差一量产生,控制器立即产生控制作用,以减少偏差;积分环节主要用于消除静态误差,提高系统的无差度;微分环节反映偏差信号的变化趋势,并能在偏差信号变得太大之前,在系统中引入一个有效的早期修正信号,从而加快系统的动作速度,减少调节时间。

PID控制器是一种线性控制器,根据给定值r(t)与实际输出值y(t)构成控制偏差:

e(t)=r(t)-y(t) (1)

PID的控制规律为:

VHDL-AMS的特性、仿真分析与在控制系统中的应用

式中kp为比例系数;TI为积分时间常数;TD为微分时间常数。

将式(2)写成传递函数的形式:

VHDL-AMS的特性、仿真分析与在控制系统中的应用

设定忌kP=60,TI=60,TD=0.05,由式(3)可对PID控制器建模如下:

VHDL-AMS的特性、仿真分析与在控制系统中的应用

SystemVision是Mentor Graphics公司开发的支持VHDL-AMS的Windows集成开发环境。在SystemVision环境下,由文中的VHDL-AMS程序建立PID控制器模型,并以二阶线性传递函数为被控对象,建立图2所示的仿真分析系统。

VHDL-AMS的特性、仿真分析与在控制系统中的应用

设给定信号r(t)=Asin(2πft),其中A=1.0,f=0.2 Hz,仿真时间为50 S。仿真得到PID控制器的正弦响应波形如图3所示。

VHDL-AMS的特性、仿真分析与在控制系统中的应用

设给定信号r(t)为单位阶跃信号ε(t),仿真时间为0.1 S。仿真得到锁相环的波形如图4所示。

由仿真结果可以看出,PID控制器控制过程快速、准确、平稳,具有良好的控制效果。还可进一步调整PID参数,分析相关参数对控制性能的影响。

4 结 语

VHDL-AMS突破了VHDL只能设计数字系统的限制,在控制、机电等多域系统(Multl_descriplinessystems)分析与设计中应用越来越广,特别是在以传递函数为系统分析与设计工具的控制系统中应用简捷方便。随着VHDL-AMS综合技术的突破,VHDL-AMS将会逐步取代VHDL,在电子工程设计和多域系统设计领域发挥越来越重要的作用。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 控制器
    +关注

    关注

    112

    文章

    15191

    浏览量

    171086
  • 控制系统
    +关注

    关注

    41

    文章

    5957

    浏览量

    108658
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132144
收藏 人收藏

    评论

    相关推荐

    基于VHDL-AMS的巴特沃斯二阶滤波器行为建模与仿真(smash5.51)

    小弟已经smash5.51完成基于VHDL-AMS的带通滤波器行为建模与仿真,料想巴特沃斯二阶滤波器(最平坦相应)应该是略有变化,怎奈时间有限,遂直接向大家求助,望支持,求响应!
    发表于 03-11 10:06

    基于VHDL六层电梯控制系统

    基于VHDL六层电梯控制系统
    发表于 11-06 14:43

    求一种VHDL密码控制系统的设计方案

    VHDL语言特点和基本结构是什么?如何去设计VHDL的密码控制系统?怎样对VHDL的密码控制系统进行仿真
    发表于 04-30 06:57

    怎么实现PID控制器的VHDL-AMS行为级建模

    本文介绍VHDL-AMS的新概念和新特性。通过对PID控制原理进行数学分析,建立PID控制器的数学模型,实现PID
    发表于 05-06 07:59

    MATLAB语言电机控制系统仿真研究的应用

    MATLAB语言电机控制系统仿真研究的应用宋凌锋李立毅程树康【摘要】简要介绍了MATLAB语言,并把MATLAB语言应用于电机控制系统
    发表于 08-27 06:43

    基于MATLAB的异步电机特性仿真分析

    基于MATLAB的异步电机特性仿真分析终稿 摘要 异步电动机以其结构简单、运行可靠、效率较高、成本较低等特点,日常生活得到广泛的使用。
    发表于 09-03 06:11

    混合信号系统VHDL-AMS建模与仿真分析

    剖析硬件描述语言VHDL-AMS 的新特性。通过对A/D 转换器和D/A 转换器进行建模和仿真分析可以看出,VHDL-AMS 突破了
    发表于 07-08 09:49 22次下载

    电荷泵锁相环的VHDL-AMS行为建模与仿真

    片上系统SOC是集成电路IC设计的一个重要方向。越来越多的SOC集成有模拟和数字设计。VHDL-AMS语言为模拟和混合信号系统提供了一种统一的建模仿真方法。本文在详细
    发表于 02-24 12:06 13次下载

    VHDL密码控制系统的设计

    阐述密码控制设计的基本原理。介绍了VHDL语言的特点以及基本的语法结构。在MAX+plusⅡ开发软件环境下,利用VHDL硬件描述语言实现密码控制系统设计,并对其
    发表于 12-16 16:10 0次下载

    步进电机定位控制系统VHDL程序与仿真

    步进电机定位控制系统VHDL程序与仿真library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsign
    发表于 06-27 10:44 1552次阅读

    电梯控制系统VHDL程序与仿真

    本文档的主要内容详细介绍的是电梯控制系统VHDL程序与仿真免费下载。
    发表于 12-18 16:46 32次下载
    电梯<b class='flag-5'>控制系统</b>的<b class='flag-5'>VHDL</b>程序与<b class='flag-5'>仿真</b>

    步进电机定位控制系统VHDL程序与仿真资料免费下载

    本文档的主要内容详细介绍的是步进电机定位控制系统VHDL程序与仿真资料免费下载。
    发表于 01-20 14:26 15次下载

    VHDL-AMS格式热电联合仿真

    模型,从而电子部件可以进行系统仿真VHDL-AMS格式热电联合仿真 基于IEEE标准1076.1标准,校核过的电子产品模型在Simcenter Flotherm中可转化为Spice
    的头像 发表于 08-13 09:25 1871次阅读

    PADS Professional中的原理图AMS仿真如何确保正确的设计意图

    PADS Professional 包含了许多功能,其中之一便是其内置的 Analog Mixed Signal (AMS) 仿真工具,利用此工具,可以对使用 SPICE 和 VHDL-AMS 模型
    的头像 发表于 10-21 15:41 1862次阅读

    用于功率 MOSFET 的 SPICE 和 VHDL-AMS中的 Nexperia 精密电热模型-AN90034

    用于功率 MOSFET 的 SPICE 和 VHDL-AMS 中的 Nexperia 精密电热模型-AN90034
    发表于 02-09 21:43 0次下载
    用于功率 MOSFET 的 SPICE 和 <b class='flag-5'>VHDL-AMS</b>中的 Nexperia 精密电热模型-AN90034