0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何采用FPGA芯片实现卫星数字电视码流转发器电路原理设计

电子设计 来源:郭婷 作者:电子设计 2018-12-21 07:59 次阅读

1 引 言

由于数字电视能提供更清晰的图像、更逼真的声音、更大的屏幕,以及数字化传输方式所特有的高效数据传输率,可以在有限的传输频带内传送更多的电视节目,正成为数字化视听技术发展的一个新方向。作为数字电视前端设备中的卫星数字电视码流转发器,简称为码流机,其主要功能就是接收频率为950~2 150 MHz的国内外数字卫星节目信号进行QPSK解调,并转换成ASI格式的MPEG-2传输流,输出给TS流复用器、QAM调制器等前端设备处理后发射到数字电视终端用户,即相当于有线电视台转播节目的信号源;同时他还输出模拟视频音频信号,供管理人员监控使用。本文主要讨论如何把调谐器输出的TS流转换为ASI格式的MPEG-2传输流。

2 系统硬件组成及ASI接口

卫星数字电视码流转发器主要由调谐器,FPGA,ASI输出,SPI输出以及音视频解码输出部分构成,其中调谐器部分负责接收来自卫星的节目信号;音视频解码输出是供管理人员监控使用;FPGA主要负责ASI的数据链路层的具体实现、SPI输出以及TS流的转接到音视频解码芯片上;控制部分主要负责码流路由选择和音视频解码部分的控制。其内部结构如图1所示。

如何采用FPGA芯片实现卫星数字电视码流转发器电路原理设计

由于SPI接口采用的是低电压差分信号,其传输距离短、抗干扰性差,因此当今数字电视前端设备的输入输出接口一般都要求配有ASI接口。DVB-ASI是一种传输速率恒定,允许具有不同数据速率的串行编码传输系统,我国的ASI接口没有采用ISO/IEC规定的265.625 Mb/s传输速率,而是采用了270.000 Mb/s的传输速率。DVB-ASI接口协议是基于MPEG-2传输包的分层结构,共分3层,如图2所示。

如何采用FPGA芯片实现卫星数字电视码流转发器电路原理设计

第0层:物理层,传输介质可以是电缆或光纤;

第1层:数据链路层,主要定义了8 B/10 B编码,同步

字节插入以及串并转换;

第2层:传输层,采用MPEG-2的传输包,有两种传输数据格式:一种是每个TS包中的188 B是连续的,同步字插在两个包间,称为突发模式(burst);另外一种是同步字随机均匀地插在TS数据之间,称为非突发模式,一般设备只支持非突发模式的传输。

下面主要讨论数据链路层的。FPGA的具体实现。

3 8 B/10 B编码的理论基础

DVB-ASI的8 B/10 B编码[2,3]主要包括数据编码,插入特殊字符和误差控制。通过8 B/10 B映射保证发射信号正负均衡,即‘O’和‘1’为1∶1的直流信号,并且不会有连续的‘0’或连续的‘1’。每8 b分成3 b和5 b两组,分别映射成4 b和6 b两部分,合起来就是10 b。其中每部分按照‘0’和‘1’的数量关系有惟一的奇偶特性,称之为RD(Running Disparity),当‘1’的个数大于‘0’的个数时,RD为正,反之为负。个数相等时,RD保持不变[4]。具体的编码规则见表1。

如何采用FPGA芯片实现卫星数字电视码流转发器电路原理设计

每个编码字符可表示为Dx.y或Kx.y,D表示是数据信号,K表示是字符信号。

如何采用FPGA芯片实现卫星数字电视码流转发器电路原理设计

其中x,y为十进制数,编码中依次对一个信息字符的x和y部分进行编码,其编码的结果取决于当前x或y的值和前一次编码结果的RD。若前一次RD为正,则后一次编码采用RD为负的码字编码,反之亦然。这样编码的结果保证传输信号的电平统计上的直流特性。

在这种编码控制下,根据RD的变化一方面可以保证比特流的直流特性且不会存在多个的连续‘O’或连续‘1’;另一方面可以进行自动检测,实现误差控制。ASI传输系统中的误比特率小于10-13。系统中插入的特殊符号实现控制功能,包括同步信号K28.5,在接收端的字节同步正是依靠检测到连续两个K28.5的同步信息且满足奇偶校验,在同步信号后的比特被依次组成字节,完成字节同步。

4 FPGA部分的详细设计

在FPGA的设计中,我们选用Altera的EP1C3T144C8。按照自顶向下的设计思路,我们把FPGA的ASI部分详细设计化分为5个子模块,如图3所示。

如何采用FPGA芯片实现卫星数字电视码流转发器电路原理设计

4.1 输入FIF0的设计

由于从调谐器输出的TS流与实际FPGA处理的TS流速度并不是同步的,因此在FPGA内部建立一个FIFO对输入的TS流进行数据缓冲处理是必需的,但FIFO的深度是一个不容忽视的问题,FIFO的参考设计深度为一帧TS流长度的2倍,由于一个TS包的长度可能是188 B,也可能是204 B,同时,由于8 B/10 B模块读FIFO的速度是恒定的27 MHz,大于TS流的数据速率,因此FIFO是永远都不会上溢的。综上所述,FIFO的深度选用512 B是比较合适的。

4.2 8 B/10 B模块设计

在该部分设计的过程中,参照上述的8 B/10 B理论基础[4],我们没有选用CYPRESS公司的CY7B923[5]HOTLinkTM专用芯片,也没有选用Altera的8 B/10 B的IP Core,而是自己开发的8 B/10 B模块,按照自顶向下的设计思路,我们把该部分又分为6个子模块,其对应的Verilog接口如下:

8 B/10 B顶层模块:module top——8B10B(clk,rst,din,dout,invalidK);

3 B/4 B模块:module e3Bto4B(clk,rst,din,kin,dout,dsp4b);

5 B/6 B模块:module e5Bto6B(clk,rst,din,kin,dout,dsp6b);

K字符检测模块:module k_detector(clk,rst,din,kin,invalidK);

特殊3 B字符处理模块:module dec_spec3b4b(clk,rst,din3b,din4b,kin,rdp,rdn);

RD控制模块:module RD(clk,rst,kin,din4b,din6b,dsp4b,dsp6b,out6b,out4b,rdp,rdn);

4.3 同步字节插入模块设计

当TS流的数据速度始终小于8 B/10 B编码模块读取数据的时候,FIFO就有可能下溢,当FIFO为空时,并/串转换模块的输入数据为K28.5同步字节(8 B/10 B编码后为:0011111010或1100000101)[6],以达到ASI的固定的270.000 Mb/s的传输速率。同步字节的插入方法有两种:

(1) 由TS流中的数据有效信号来确定是否向FIFO中插入K28.5同步字节;

(2) 由FIFO的EMPTY信号和来确定并串转换模块的的输入数据为0011111010或1100000101,即不通过8 B/10 B编码模块。在本设计中,我们选用了方法(1)插入K28.5同步字节。

4.4 并/串转换模块设计

该模块对10 B的并行数据进行并/串转换,在实际的设计中,采用1个移位寄存器和1个计数器即可完成并/串转换操作。

4.5 PLL模块设计

由于ASI的标准输出速率是270.000 Mb/s,因此为整个并/串转换的最小时钟就是270 MHz,而系统FPGA的外部时钟选用的是27 MHz的有源晶振,所以可以采用EPlC内部自带的锁相环来提供270 MHz的内部时钟,实际的操作方法就是例化一个PLL模块,把倍频系数设置为10即可。

5 系统测试与仿真结果

对数字卫星码流转发器ASI输出的测试可以采用标准MPEG-2码流分析仪AD953,也可以直接选用DVB解码器,以观看能否正确收视节目来确定ASI流是否正常。FPGA部分设计的软件平台选用Quartuns和仿真工具ModelSim,部分仿真结果如图4所示。

如何采用FPGA芯片实现卫星数字电视码流转发器电路原理设计

6 结 语

本方案经硬件实现后,接上卫星信号,ASI接口输出的信号经过DVB解码器后,得到了稳定连续的音视频信号。采用一块FPGA代替传统的CY7B923+CPLD方案,由于省去了价格昂贵的CY7B923HOTLinkTM芯片,大大降低了该部分的物理成本和硬件电路原理设计的复杂性,因此,本方案具有很强的实用价值。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21306

    浏览量

    593113
  • 视频
    +关注

    关注

    6

    文章

    1890

    浏览量

    71882
  • 卫星
    +关注

    关注

    17

    文章

    1613

    浏览量

    65833
  • 调谐器
    +关注

    关注

    0

    文章

    172

    浏览量

    29450
收藏 人收藏

    评论

    相关推荐

    ATi带卫星接收的集成数字电视方案

    低成本集成数字电视的解决方案,它带有两个用于PIP的卫星调谐和可特加的硬盘驱动,以支持暂停和观看/录制功能。 解决方案 ATi的Xilleon 220将所有主要的系统功能集成在单
    发表于 05-28 16:38

    数字电视的基本原理

    数字电视系统中,数字卫星电视系统(DVB-S)采用正交相移键控调制(OPSK);数字有线电视系统
    发表于 06-02 09:37

    数字电视的分类

    数字电视的分类  (1)按信号传输方式分类:可以分为地面无线传输(地面数字电视)、卫星传输(卫星数字电视)、有线传输(有线
    发表于 08-28 14:26

    数字电视标准及基测量技术

    资源有限。一套模拟电视节目要占用 36MHz 带宽的卫星转发器,占用 8MHz 的地面电视广播和有线电视频率。而
    发表于 11-27 07:45

    数字卫星电视上行站方框图

    据流, 然后调制载波发至卫星, 这种方式能使转发器的功率得到最大限度的发挥。 中央电视台、 中央教育卫视、 内蒙古卫视、 新疆卫视等采用这种方式。    
    发表于 09-30 22:13

    [原创]数字电视方案

    方案采用专业设备,完美实现卫星数字电视,有线数字电视,地面数字电视信号到IPTV系统的无损转换。
    发表于 10-30 10:23

    数字电视基础知识(四)

    COFDM调制或I6VSB调制。八、 DVB标淮卫星传输系统DVB-S 数字卫星电视的传输是为了满足卫星转发器的带宽及
    发表于 02-20 08:29

    卫星电视广播的工作原理

    工作,以削弱相邻频道之间的相互干扰。 数字频带压缩技术 通常,卫星上一个转发器只能传送一套模拟电视节目,而租用一个卫星
    发表于 12-25 16:27

    数字电视DVI接口的EDID开发

    停止传输内容,以达到保护传输内容的目的。HDCP鉴定处理过程如图6所示。图6 HDCP鉴定处理过程图HDCP功能对于数字电视有一定局限性。对于设计完善的功能电路,如果A/D转换、TMDS解码处理
    发表于 12-10 10:20

    数字电视和DVI接口的结合

    信号。以上作为以后修改规范时的建议提出。芯片设计时,考虑在芯片内部将HDCP处理系统和TMDS解码处理系统合理处理,解码Power Down时,HDCP也能正常工作。DVI应用于数字电视
    发表于 04-08 09:36

    FPGA卫星数字电视流转发器设计中的应用

    FPGA卫星数字电视流转发器设计中的应用 由于数字电视能提供更清晰的图像、更逼真的声音、更大的屏幕,以及
    发表于 10-17 10:18 871次阅读
    <b class='flag-5'>FPGA</b>在<b class='flag-5'>卫星</b><b class='flag-5'>数字电视</b>码<b class='flag-5'>流转发器</b>设计中的应用

    空间转发器系统,空间转发器系统是什么意思

    空间转发器系统,空间转发器系统是什么意思 转发器是通信卫星中最重要的组成部分,它能起到卫星通信中继站的作用,其性能直接影
    发表于 04-03 11:34 1968次阅读

    什么是再生型转发器

    什么是再生型转发器 通信卫星上的转发器是通信卫星的核心部件,它的作用是: 将卫星上天线接收到的由地面站发送的电话、电报、
    发表于 04-03 12:03 2067次阅读

    数字电视盲区覆盖解决方案

    数字电视的盲区覆盖成为下一步网络建设的重点。在此情况下,我们研制出了一种可靠、廉价的用于解决数字电视地面网络信号覆盖盲点问题的设备----数字电视转发器,也称直放站或缝
    发表于 09-15 10:46 1412次阅读

    什么是卫星数字电视?

    什么是卫星数字电视?卫星数字电视是居民通过卫星天线、高频头以及接收机收看直播卫星传输的节目,
    发表于 12-13 13:59 7954次阅读