0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA学习系列:33. 二进制转格雷码转二进制的设计

FPGA学习交流 2018-08-28 16:16 次阅读

设计背景:

典型的二进制格雷码(Binary Gray Code)简称格雷码,因1953年公开的弗兰克·格雷(Frank Gray,18870913-19690523)专利“Pulse Code Communication”而得名,当初是为了通信,现在则常用于模拟-数字转换和位置-数字转换中。法国电讯工程师波特(Jean-Maurice-Émile Baudot,18450911-19030328)在1880年曾用过的波特码相当于它的一种变形。1941年George Stibitz设计的一种8元二进制机械计数器正好符合格雷码计数器的计数规律。

设计原理:

在一组数的编码中,若任意两个相邻的代码只有一位二进制数不同,则称这种编码为格雷码(GrayCode),另外由于最大数与最小数之间也仅一位数不同,即“首尾相连”,因此又称循环码或反射码。在数字系统中,常要求代码按一定顺序变化。例如,按自然数递增计数,若采用8421码,则数0111变到1000时四位均要变化,而在实际电路中,4位的变化不可能绝对同时发生,则计数中可能出现短暂的其它代码(1100、1111等)。在特定情况下可能导致电路状态错误或输入错误。使用格雷码可以避免这种错误。格雷码有多种编码形式。
格雷码(GrayCode)曾用过GreyCode、葛莱码、格莱码、戈莱码、循环码、反射二进制码、最小差错码等名字,它们有的不对,有的易与其它名称混淆,建议不要再使用这些曾用名。

1位格雷码有两个码字

(n+1)位格雷码中的前2n个码字等于n位格雷码的码字,按顺序书写,加前缀0

(n+1)位格雷码中的后2n个码字等于n位格雷码的码字,按逆序书写,加前缀1

设计代码:

设计模块

0moduleb_g_b(bin,bo);//端口列表

1

2 input[7:0]bin;//8位二进制输入

3

4 reg[8:0]bi;

5 outputreg[8:0]bo;//8位二进制输出

6 reg[8:0]g;

7

8 //二进制转格雷码

9 integeri;

10 always@(*)

11 begin

12 bi =bin;

13 bi[8]=0;

14 for(i =7;i >=0;i =i -1)

15 g[i]=bi[i]^bi[1+i];

16

17 end

18

19 //格雷码转二进制

20 always@(*)

21 begin

22 bo[8]=0;

23 for(i =7;i >=0;i =i -1)

24 bo[i]=g[i]^bo[i +1];

25 end

26

27endmodule

测试模块

0`timescale1ns/1ps//仿真时标

1

2moduletb;

3

4 reg[7:0]bi;

5 wire[7:0]bo;

6

7 initialbegin

8 bi =0;

9

10 #50bi =8'haa;//二进制模拟

11 #50bi =8'hff;

12 #50bi =8'h55;

13 #50bi =8'hff;

14

15 #200$stop;//停止

16

17 end

18

19 b_g_b dut(.bin(bi),.bo(bo));//端口例化

20

21endmodule

仿真图:

设计背景:

典型的二进制格雷码(Binary Gray Code)简称格雷码,因1953年公开的弗兰克·格雷(Frank Gray,18870913-19690523)专利“Pulse Code Communication”而得名,当初是为了通信,现在则常用于模拟-数字转换和位置-数字转换中。法国电讯工程师波特(Jean-Maurice-Émile Baudot,18450911-19030328)在1880年曾用过的波特码相当于它的一种变形。1941年George Stibitz设计的一种8元二进制机械计数器正好符合格雷码计数器的计数规律。

设计原理:

在一组数的编码中,若任意两个相邻的代码只有一位二进制数不同,则称这种编码为格雷码(GrayCode),另外由于最大数与最小数之间也仅一位数不同,即“首尾相连”,因此又称循环码或反射码。在数字系统中,常要求代码按一定顺序变化。例如,按自然数递增计数,若采用8421码,则数0111变到1000时四位均要变化,而在实际电路中,4位的变化不可能绝对同时发生,则计数中可能出现短暂的其它代码(1100、1111等)。在特定情况下可能导致电路状态错误或输入错误。使用格雷码可以避免这种错误。格雷码有多种编码形式。
格雷码(GrayCode)曾用过GreyCode、葛莱码、格莱码、戈莱码、循环码、反射二进制码、最小差错码等名字,它们有的不对,有的易与其它名称混淆,建议不要再使用这些曾用名。

1位格雷码有两个码字

(n+1)位格雷码中的前2n个码字等于n位格雷码的码字,按顺序书写,加前缀0

(n+1)位格雷码中的后2n个码字等于n位格雷码的码字,按逆序书写,加前缀1

设计代码:

设计模块

0moduleb_g_b(bin,bo);//端口列表

1

2 input[7:0]bin;//8位二进制输入

3

4 reg[8:0]bi;

5 outputreg[8:0]bo;//8位二进制输出

6 reg[8:0]g;

7

8 //二进制转格雷码

9 integeri;

10 always@(*)

11 begin

12 bi =bin;

13 bi[8]=0;

14 for(i =7;i >=0;i =i -1)

15 g[i]=bi[i]^bi[1+i];

16

17 end

18

19 //格雷码转二进制

20 always@(*)

21 begin

22 bo[8]=0;

23 for(i =7;i >=0;i =i -1)

24 bo[i]=g[i]^bo[i +1];

25 end

26

27endmodule

测试模块

0`timescale1ns/1ps//仿真时标

1

2moduletb;

3

4 reg[7:0]bi;

5 wire[7:0]bo;

6

7 initialbegin

8 bi =0;

9

10 #50bi =8'haa;//二进制模拟

11 #50bi =8'hff;

12 #50bi =8'h55;

13 #50bi =8'hff;

14

15 #200$stop;//停止

16

17 end

18

19 b_g_b dut(.bin(bi),.bo(bo));//端口例化

20

21endmodule

仿真图:

在仿真图中可以得到,在设计文件中设计的输入二进制为00 55 等等,在转化后的输出模块中可以清楚的看到输入和输出是一样的,通过仿真可以得到本次设计正确。



声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21309

    浏览量

    593122
收藏 人收藏

    评论

    相关推荐

    如何实现二进制和BCD码数据的相互转变?

    如何实现二进制和BCD码数据的相互转变? 二进制码是将十进制数字表示为二进制数和十进制数的一种表示方法。在计算机系统中,
    的头像 发表于 02-18 14:51 437次阅读

    二进制与逻辑电平的变化范围

    二进制中的两个数字0和1称为位(bit, 是二进制数字binary digit的缩写)。在数字电路中,使用两个不同的电平表示这两个位。一般情况下,1 用高电平表示,0用低电平表示,这种逻辑体制称为正逻辑。
    的头像 发表于 02-04 16:54 526次阅读
    <b class='flag-5'>二进制</b>与逻辑电平的变化范围

    鸿蒙二进制数组创建

    背景 c++层数据都是二进制,需要转换成arrayBuffer透传到ets层给业务使用,但是鸿蒙的使用下面两个api创建出来的二进制数组数据都是错误的。 接口
    的头像 发表于 01-31 15:24 849次阅读

    二进制、八进制、十六进制在现实当中有什么意义?

    二进制、八进制、十六进制在现实当中有什么意义? 二进制、八进制和十六进制在现实生活中有着广泛的应
    的头像 发表于 01-16 11:14 589次阅读

    10进制转换为二进制的算法

    进制转换为二进制是计算机科学中非常基础且重要的概念之一。在理解和应用计算机科学的基础知识时,掌握这个算法是至关重要的。 在开始讲解十进制转换为二进制的算法之前,让我们回顾一下十
    的头像 发表于 01-15 10:32 567次阅读

    你知道十进制二进制如何进行转换吗?

    你知道十进制二进制如何进行转换吗? 当我们提到数字系统时,最常见的是十进制系统和二进制系统。十进制是基于10的系统,而
    的头像 发表于 12-20 17:05 667次阅读

    二进制最佳接收原理 二进制最佳接收机的实现形式有哪两种?

    二进制最佳接收原理 二进制最佳接收机的实现形式有哪两种? 二进制最佳接收原理是计算机通信中的重要概念,它是指在二进制通信中通过一定的方法,使接收机在信号传输过程中能够准确、可靠地接收到
    的头像 发表于 11-27 16:19 566次阅读

    二进制数据及取值范围的计算方法

    本文介绍二进制数据的相关知识,如定义、取值范围计算、转换为十进制的方法以及一些常见位数的二进制数据的取值范围等。
    的头像 发表于 11-08 15:48 823次阅读
    <b class='flag-5'>二进制</b>数据及取值范围的计算方法

    请问bcd二进制有什么关系?

    这个bcd二进制有什么关系? bcd 表示0011001是19(十进制) 二进制表示0011001是25(十进制) 这个怎么确定是哪个?
    发表于 10-07 06:02

    M451如何丢弃函数的二进制代码填入SRAM的二进制代码

    应用程序 : 示例代码演示了如何丢弃函数的二进制代码, 然后填入 SRAM 的二进制代码, 然后调用它 。 BSP版本:M451系列BSP V3.01.001 硬件: 任何 M451 系列
    发表于 08-30 07:35

    如何读取二进制文件,IAR怎么输出二进制BIN文件

    IAR怎么输出二进制BIN文件?
    发表于 08-28 17:19

    如何丢弃函数的二进制代码填入SRAM的二进制代码?

    应用程序 : 示例代码演示了如何丢弃函数的二进制代码, 然后填入 SRAM 的二进制代码, 然后调用它 。 BSP版本:M451系列BSP V3.01.001 硬件: 任何 M451 系列
    发表于 08-23 06:34

    【verilog每日一练】二进制数值中1的个数奇偶判断

    用verilog实现变量flag为1表示变量a的数值中二进制1的个数是奇数,flag为0表示变量a的数值中二进制1的个数是偶数,比如:a为8’b1111_0000,则二进制1的个数为4,即偶数
    发表于 08-15 10:42

    Arduino二进制骰子

    电子发烧友网站提供《Arduino二进制骰子.zip》资料免费下载
    发表于 07-04 10:13 0次下载
    Arduino<b class='flag-5'>二进制</b>骰子

    二进制数开源分享

    电子发烧友网站提供《二进制数开源分享.zip》资料免费下载
    发表于 06-26 11:40 0次下载
    <b class='flag-5'>二进制</b>数开源分享