0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技Custom Design Platform获批三星7LPP工艺技术认证

西西 作者:厂商供稿 2018-07-18 11:46 次阅读

三星和新思科技推出7LPP定制设计参考流程。

重点:

· 新思科技Custom Design Platform为三星7LPP工艺技术提供经认证的工具、PDK、仿真模型、运行集(runsets)以及定制参考流程。

· 新思科技Custom Compiler™版图,HSPICE®仿真,FineSim® SPICE仿真,CustomSim™FastSPICE仿真,StarRC™寄生参数提取和IC Validator物理signoff工具已通过三星7LPP设计认证。

· 使用新思科技工具的三星7LPP定制设计参考流程包括仿真、蒙特卡罗分析、视觉辅助版图自动化、寄生分析和电迁移相关教程

2018年7月18日,中国 北京——全球第一大芯片自动化设计解决方案提供商及全球第一大芯片接口IP供应商、信息安全和软件质量的全球领导者新思科技(Synopsys, Inc.,纳斯达克股票市场代码: SNPS )宣布,新思科技 Custom Design Platform已经成功通过全球领先的先进半导体技术企业三星电子的认证,可用于三星7nm LPP(低功耗+)工艺。三星7LPP工艺是其首款使用极紫外(EUV)光刻的半导体工艺技术,与10nm FinFET相比,可大大降低复杂性,并提供更高的良率和更快的周转时间。新思科技定制设计工具已针对三星7LPP相关要求进行更新。此外,三星还将提供面向新思科技的工艺设计套件(PDK)和定制设计参考流程。

新思科技 Custom Design Platform已通过三星7LPP工艺技术认证。该平台以Custom Compiler定制设计和版图环境为核心,并包括HSPICE、FineSim SPICE和CustomSim FastSPICE电路仿真、StarRC寄生参数提取以及IC Validator物理验证。为了支持高效的7LPP定制设计,新思科技和三星共同开发了参考流程,其中包含一组使用说明,描述7nm设计和版图的关键要求。这些说明包括示例设计数据和执行典型设计和版图任务的步骤,涵盖的主题包括电气规则检查、电路仿真、混合信号仿真、蒙特卡罗分析、版图、寄生分析和电迁移。

为了通过三星认证,新思科技对工具进行了优化,以满足7nm设计的严苛要求,其中包括:

• 精确的FinFET器件建模与器件老化效应

• 先进的蒙特卡罗模拟功能,实现高效分析

• 用于模拟和RF设计的高性能瞬态噪声仿真

• 高性能的版图后仿真,实现寄生感知设计和仿真

• 用于器件电压检查的动态电路ERC

• 高性能晶体管级EM/IR分析,最大限度地减少过度设计

• FinFET器件阵列的高效符号化编辑

• EUV支持

• 基于覆盖的过孔电阻提取

三星营销团队副总裁Ryan Sanghyun Lee表示:“我们与新思科技的定制设计合作在过去两年中有了很大发展。通过此次通力合作,我们为7LPP工艺增添了新思科技Custom Design Platform支持,包括基于新思科技工具的定制设计参考流程。”

新思科技产品营销副总裁Bijan Kiani表示:“我们一直与三星紧密合作,以简化使用FinFET工艺技术的定制设计。我们合作推出了认证工具、参考流程、PDK、仿真模型和运行集,帮助三星客户能够使用7LPP工艺实现可靠的定制设计。”

关于新思科技Custom Design Platform

新思科技 Custom Design Platform是一套统一标准的设计和验证工具,能够加速可靠定制设计的开发。该平台由Custom Compiler定制设计环境支持,具有业界领先的电路仿真性能和快速易用的定制版图编辑器。它包括用于寄生参数提取、可靠性分析和物理验证的技术。

该平台的关键功能包括物理感知设计、视觉辅助版图、可靠性验证以及IC Compiler ™ II协同设计。通过将StarRC寄生参数提取技术融入仿真和版图,物理感知的设计可最大限度地减少版图前后仿真之间的不匹配。视觉辅助版图提供了自动化的同时没有繁琐的约束要求。可靠性验证确保设计具备signoff准确的晶体管级EM/IR分析、大规模蒙特卡洛分析、器件老化分析和其他验证检查。IC Compiler II协同设计将新思科技的数字和模拟工具连接为混合信号片上系统(SoC)实现的组合解决方案。新思科技 Custom Design Platform基于OpenAccess数据库,包含用于第三方工具集成的开放API,并支持TCL和Python编程。平台工具包括HSPICE和FineSim SPICE、CustomSim FastSPICE、自定义编译器版图和原理图编辑器、StarRC寄生参数提取以及IC Validator物理验证。欲了解更多信息,请访问 www.customcompiler.info。

关于新思®

新思科技(Synopsys, Inc.,纳斯达克股票市场代码: SNPS)致力于创新改变世界,在芯片(Silicon)到软件(Software)的众多领域,新思科技始终引领和参与全球各个科技公司的紧密合作,共同开发人们所依赖的电子产品和软件应用。新思科技是全球排名第一的芯片自动化设计解决方案提供商,全球排名第一的芯片接口IP供应商,同时也是信息安全和软件质量的全球领导者,并荣选美国标准普尔500指数成分股龙头企业。新思科技总部位于美国硅谷,成立于1986年,目前拥有12200多名员工,分布在全球100多个分支机构。2017年财年营业额逾27亿美元,拥有2600多项已批准专利。作为半导体、人工智能汽车电子及软件安全等产业的核心技术提供商与驱动者,新思科技的技术一直深刻影响着当前全球五大新兴科技创新应用:智能汽车、物联网、人工智能、云计算和信息安全。

自1995年在中国成立新思科技以来,已在北京、上海、深圳、厦门、武汉、西安、南京、香港、澳门、***等区域设立机构,员工人数1700多人,建立了完善的技术研发和支持服务体系,秉持“加速创新、推动产业、成就客户”的方针,与产业共同发展,成为中国半导体产业快速发展的优秀伙伴和坚实支撑。新思科技携手合作伙伴共创未来,让明天更有新思。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 三星电子
    +关注

    关注

    34

    文章

    15603

    浏览量

    180113
  • 新思科技
    +关注

    关注

    5

    文章

    716

    浏览量

    50064
  • EUV
    EUV
    +关注

    关注

    8

    文章

    575

    浏览量

    85578
  • 7lpp
    +关注

    关注

    0

    文章

    2

    浏览量

    5393
收藏 人收藏

    评论

    相关推荐

    MEMS封装中的封帽工艺技术

    密性等。本文介绍了五种用于MEMS封装的封帽工艺技术,即平行缝焊、钎焊、激光焊接、超声焊接和胶粘封帽。总结了不同封帽工艺的特点以及不同MEMS器件对封帽工艺的选择。本文还介绍了几种常用的吸附剂类型,针对吸附剂易于饱和问题,给出了
    的头像 发表于 02-25 08:39 308次阅读
    MEMS封装中的封帽<b class='flag-5'>工艺技术</b>

    三星与Arm携手,运用GAA工艺技术提升下一代Cortex-X CPU性能

    三星继续推进工艺技术的进步,近年来首次量产了基于2022年GAA技术的3nm MBCFET ™ 。GAA技术不仅能够大幅减小设备尺寸,降低供电电压,增强功率效率,同时也能增强驱动电流,
    的头像 发表于 02-22 09:36 170次阅读

    Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8nm LPP 工艺技术认证

    Samsung Foundry 的 8nm Low Power Plus(LPP)先进制程工艺认证。 EMX Solver 是市面上首个获得此认证的电磁(EM)求解器,成功达到
    的头像 发表于 11-15 15:55 433次阅读
    Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8nm <b class='flag-5'>LPP</b> <b class='flag-5'>工艺技术</b><b class='flag-5'>认证</b>

    电子产品装联工艺技术详解

    电子产品装联工艺技术详解
    的头像 发表于 10-27 15:28 461次阅读
    电子产品装联<b class='flag-5'>工艺技术</b>详解

    思科技携手台积公司加速N2工艺下的SoC创新

    思科技近日宣布,其数字和定制/模拟设计流程已通过台积公司N2工艺技术认证,能够帮助采用先进工艺节点的SoC实现更快、更高质量的交付。新思科
    的头像 发表于 10-24 16:42 506次阅读

    思科技面向台积公司N5A工艺技术推出业内领先的广泛车规级IP组合

    思科技接口和基础 IP 组合已获多家全球领先企业采用,可为 ADAS 系统级芯片提供高可靠性保障 摘要: 面向台积公司N5A工艺的新思科技IP产品在汽车温度等级2级下符合 AEC-Q100
    发表于 10-23 15:54 1023次阅读

    2023年10月21日芯片价格信息差《三星内存条》#采购#华强北#内存#集成电路#三星内存条#

    内存三星
    深圳市石芯电子有限公司
    发布于 :2023年10月21日 11:14:12

    #美国 #三星 美国彻底放弃卡脖子吗?美国同意三星电子向中国工厂提供设备!

    三星电子
    深圳市浮思特科技有限公司
    发布于 :2023年10月11日 13:47:16

    思科技3DIC Compiler获得三星多裸晶芯集成工艺流程的认证

    思科技经认证的多裸晶芯片系统设计参考流程和安全的Die-to-Die IP解决方案,加速了三星SF 5/4/3工艺和I-Cube及X-Cube技术
    的头像 发表于 09-14 09:38 880次阅读

    思科技IP成功在台积公司3nm工艺实现流片

    基于台积公司N3E工艺技术的新思科技IP能够为希望降低集成风险并加快首次流片成功的芯片制造商建立竞争优势
    的头像 发表于 08-24 17:37 692次阅读

    2006电子元器件搪锡工艺技术要求

    2006电子元器件搪锡工艺技术要求
    发表于 08-23 16:48 3次下载

    Cadence 数字、定制/模拟设计流程通过认证Design IP 现已支持 Intel 16 FinFET 制程

    流程现已通过 Intel 16 FinFET 工艺技术认证,其 Design IP 现可支持 Intel Foundry Services(IFS)的此工艺节点。 与此同时,Caden
    的头像 发表于 07-14 12:50 405次阅读
    Cadence 数字、定制/模拟设计流程通过<b class='flag-5'>认证</b>,<b class='flag-5'>Design</b> IP 现已支持 Intel 16 FinFET 制程

    思科技与三星扩大IP合作,加速新兴领域先进SoC设计

    面向三星8LPU、SF5 (A)、SF4 (A)和SF3工艺的新思科技接口和基础IP,加速先进SoC设计的成功之路 摘要: 新思科技接口IP适用于USB、PCI Express、112
    发表于 06-30 13:40 356次阅读

    Cadence定制设计迁移流程加快台积电N3E和N2工艺技术的采用速度

    ,包括最新的 N3E 和 N2 工艺技术。这一新的生成式设计迁移流程由 Cadence 和台积电共同开发,旨在实现定制和模拟 IC 设计在台积电工艺技术之间的自动迁移。与人工迁移相比,已使用该流程的客户成功地将迁移时间缩短了 2.5 倍。
    的头像 发表于 05-06 15:02 851次阅读