0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

半导体一些英文术语你了解吗?半导体一些术语的中英文对照

kus1_iawbs2016 来源:未知 作者:易水寒 2018-07-15 11:23 次阅读

半导体产业作为一个起源于国外的技术,很多相关的技术术语都是用英文表述。且由于很多从业者都有海外经历,或者他们习惯于用英文表述相关的工艺和技术节点,那就导致很多的英文术语被翻译为中文之后,很多人不能对照得上,或者不知道怎么翻译。在这里我们整理一些常用的半导体术语的中英文版本,希望对大家有所帮助。如果当中有出错,请帮忙纠正,谢谢!

常用半导体中英对照表

离子注入机 ion implanter

LSS理论 Lindhand Scharff and Schiott theory,又称“林汉德-斯卡夫-斯高特理论”。

沟道效应 channeling effect

射程分布 range distribution

深度分布 depth distribution

投影射程 projected range

阻止距离 stopping distance

阻止本领 stopping power

标准阻止截面 standard stopping cross section

退火 annealing

激活能 activation energy

等温退火 isothermal annealing

激光退火 laser annealing

应力感生缺陷 stress-induced defect

择优取向 preferred orientation

制版工艺 mask-making technology

图形畸变 pattern distortion

初缩 first minification

精缩 final minification

母版 master mask

铬版 chromium plate

干版 dry plate

乳胶版 emulsion plate

透明版 see-through plate

高分辨率版 high resolution plate, HRP

超微粒干版 plate for ultra-microminiaturization

掩模 mask

掩模对准 mask alignment

对准精度 alignment precision

光刻胶 photoresist,又称“光致抗蚀剂”。

负性光刻胶 negative photoresist

正性光刻胶 positive photoresist

无机光刻胶 inorganic resist

多层光刻胶 multilevel resist

电子束光刻胶 electron beam resist

X射线光刻胶 X-ray resist

刷洗 scrubbing

甩胶 spinning

涂胶 photoresist coating

后烘 postbaking

光刻 photolithography

X射线光刻 X-ray lithography

电子束光刻 electron beam lithography

离子束光刻 ion beam lithography

深紫外光刻 deep-UV lithography

光刻机 mask aligner

投影光刻机 projection mask aligner

曝光 exposure

接触式曝光法 contact exposure method

接近式曝光法 proximity exposure method

光学投影曝光法 optical projection exposure method

电子束曝光系统 electron beam exposure system

分步重复系统 step-and-repeat system

显影 development

线宽 linewidth

去胶 stripping of photoresist

氧化去胶 removing of photoresist by oxidation

等离子[体]去胶 removing of photoresist by plasma

刻蚀 etching

干法刻蚀 dry etching

反应离子刻蚀 reactive ion etching, RIE

各向同性刻蚀 isotropic etching

各向异性刻蚀 anisotropic etching

反应溅射刻蚀 reactive sputter etching

离子铣 ion beam milling,又称“离子磨削”。

等离子[体]刻蚀 plasma etching

钻蚀 undercutting

剥离技术 lift-off technology,又称“浮脱工艺”。

终点监测 endpoint monitoring

金属化 metallization

互连 interconnection

多层金属化 multilevel metallization

电迁徙 electromigration

回流 reflow

磷硅玻璃 phosphorosilicate glass

硼磷硅玻璃 boron-phosphorosilicate glass

钝化工艺 passivation technology

多层介质钝化 multilayer dielectric passivation

划片 scribing

电子束切片 electron beam slicing

烧结 sintering

印压 indentation

热压焊 thermocompression bonding

热超声焊 thermosonic bonding

冷焊 cold welding

点焊 spot welding

球焊 ball bonding

楔焊 wedge bonding

内引线焊接 inner lead bonding

外引线焊接 outer lead bonding

梁式引线 beam lead

装架工艺 mounting technology

附着 adhesion

封装 packaging

金属封装 metallic packaging

陶瓷封装 ceramic packaging

扁平封装 flat packaging

塑封 plastic package

玻璃封装 glass packaging

微封装 micropackaging,又称“微组装”。

管壳 package

管芯 die

引线键合 lead bonding

引线框式键合 lead frame bonding

带式自动键合 tape automated bonding, TAB

激光键合 laser bonding

超声键合 ultrasonic bonding

红外键合 infrared bonding

微电子辞典大集合

(按首字母顺序排序)

A

Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触

Accumulation region 积累区Accumulation layer 积累层Active region 有源区Active component 有源元Active device 有源器件Activation 激活

Activation energy 激活能Active region 有源(放大)区Admittance 导纳Allowed band 允带Alloy-junction device合金结器件

Aluminum(Aluminium) 铝

Aluminum – oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放 扩音器 放大器Analogue(Analog) comparator 模拟比较器

Angstrom 埃

Anneal 退火Anisotropic 各向异性的Anode 阳极Arsenic (AS) 砷Auger 俄歇Auger process 俄歇过程Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发

B

Background carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ballasting resistor 整流电阻

Ball bond 球形键合Band 能带Band gap 能带间隙Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度

Base 基极Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制

Basis vector 基矢Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管

Bloch 布洛赫Blocking band 阻挡能带Blocking contact 阻挡接触Body - centered 体心立方Body-centred cubic structure 体立心结构

Boltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Bootstrap circuit 自举电路

Bootstrapped emitter follower 自举射极跟随器

Boron 硼Borosilicate glass 硼硅玻璃Boundary condition 边界条件Bound electron 束缚电子Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊Brillouin zone 布里渊区Built-in 内建的Build-in electric field 内建电场

Bulk 体/体内

Bulk absorption 体吸收

Bulk generation 体产生Bulk recombination 体复合Burn - in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区

C

Can 外壳Capacitance 电容Capture cross section 俘获截面Capture carrier 俘获载流子Carrier 载流子、载波Carry bit 进位位Carry-in bit 进位输入Carry-out bit 进位输出

Cascade 级联Case 管壳Cathode 阴极Center 中心Ceramic 陶瓷(的)Channel 沟道

Channel breakdown 沟道击穿

Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应

Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP) 化学机械抛光

Chip 芯片Chip yield 芯片成品率Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clock rate 时钟频率Clock generator 时钟发生器Clock flip-flop 时钟触发器Close-packed structure 密堆积结构

Close-loop gain 闭环增益Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接

Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR) 共模抑制比

Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质

Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)互补金属氧化物半导体场效应晶体管

Complementary error function 余误差函数Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试 /制造Compound Semiconductor 化合物半导体

Conductance 电导Conduction band (edge) 导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 组态Conlomb 库仑Conpled Configuration Devices 结构组态

Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Contamination 治污Continuity equation 连续性方程

Contact hole 接触孔Contact potential 接触电势Continuity condition 连续性条件Contra doping 反掺杂Controlled 受控的Converter 转换器Conveyer 传输器Copper interconnection system 铜互连系统

Couping 耦合Covalent 共阶的Crossover 跨交Critical 临界的Crossunder 穿交Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格

Current density 电流密度Curvature 曲率Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样Curvature 弯曲Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)

D

Dangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Debye length 德拜长度De.broglie 德布洛意Decderate 减速Decibel (dB) 分贝Decode 译码

Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体

Degeneracy 简并度Degradation 退化Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度

Delay 延迟 Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似

Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOSDepletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Die 芯片(复数dice)

Diode 二极管Dielectric 介电的Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容

Diffused junction 扩散结Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉

Digital circuit 数字电路Dipole domain 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体

Direct transition 直接跃迁Discharge 放电Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移

Dislocation 位错

Domain 畴 Donor 施主Donor exhaustion 施主耗尽Dopant 掺杂剂Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.

Drift 漂移

Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose 剂量Duty cycle 工作周期Dual-in-line package (DIP) 双列直插式封装

Dynamics 动态Dynamic characteristics 动态属性Dynamic impedance 动态阻抗

E

Early effect 厄利效应Early failure 早期失效Effective mass 有效质量Einstein relation(ship) 爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器

Electrode 电极Electrominggratim 电迁移Electron affinity 电子亲和势Electronic -grade 电子能Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光

Electron gas 电子气Electron-grade water 电子级纯水Electron trapping center 电子俘获中心Electron Volt (eV) 电子伏Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体

Ellipse 椭圆Ellipsoid 椭球Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试

Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic (低)共溶的Environmental test 环境测试

Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Expitaxy 外延Equivalent curcuit 等效电路

Equilibrium majority /minority carriers 平衡多数/少数载流子Erasable Programmable ROM (EPROM)可搽取(编程)存储器Error function complement 余误差函数

Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体

F

Face - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快界面态Feedback 反馈Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布

Femi potential 费米势Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管Field oxide 场氧化层Filled band 满带

Film 薄膜Flash memory 闪烁存储器Flat band 平带Flat pack 扁平封装Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转

Floating gate 浮栅Fluoride etch 氟化氢刻蚀Forbidden band 禁带Forward bias 正向偏置

Forward blocking /conducting正向阻断/导通

Frequency deviation noise频率漂移噪声Frequency response 频率响应Function 函数

G

Gain 增益

Gallium-Arsenide(GaAs) 砷化钾Gamy ray r 射线Gate 门、栅、控制极Gate oxide 栅氧化层Gauss(ian) 高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合

Geometries 几何尺寸Germanium(Ge) 锗Graded 缓变的Graded (gradual) channel 缓变沟道

Graded junction 缓变结Grain 晶粒Gradient 梯度Grown junction 生长结Guard ring 保护环Gummel-Poom model 葛谋-潘 模型Gunn - effect 狄氏效应

H

Hardened device 辐射加固器件

Heat of formation 形成热Heat sink 散热器、热沉Heavy/light hole band 重/轻 空穴带

Heavy saturation 重掺杂Hell - effect 霍尔效应Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体

High field property 高场特性High-performance MOS.( H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器

Hot carrior 热载流子Hybrid integration 混合集成

I

Image - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子

Impurity 杂质Impurity scattering 杂志散射Incremental resistance 电阻增量(微分电阻)

In-contact mask 接触式掩模Indium tin oxide (ITO) 铟锡氧化物Induced channel 感应沟道

Infrared 红外的Injection 注入Input offset voltage 输入失调电压Insulator 绝缘体Insulated Gate FET(IGFET)绝缘栅FET

Integrated injection logic集成注入逻辑

Integration 集成、积分Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构

Interface 界面Interference 干涉International system of unions国际单位制

Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的Intrinsic semiconductor 本征半导体Inverse operation 反向工作

Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性

J

Junction FET(JFET) 结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side-wall 结侧壁

L

Latch up 闭锁Lateral 横向的Lattice 晶格Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变

Leakage current (泄)漏电流Level shifting 电平移动Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生长技术

Lithography 光刻Light Emitting Diode(LED) 发光二极管Load line or Variable 负载线Locating and Wiring 布局布线Longitudinal 纵向的Logic swing 逻辑摆幅Lorentz 洛沦兹Lumped model 集总模型

M

Majority carrier 多数载流子Mask 掩膜板,光刻板Mask level 掩模序号Mask set 掩模组Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器

Matching 匹配Maxwell 麦克斯韦Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF) 平均工作时间

Megeto - resistance 磁阻Mesa 台面MESFET-Metal Semiconductor金属半导体FETMetallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数Minority carrier 少数载流子

Misfit 失配Mismatching 失配Mobile ions 可动离子Mobility 迁移率Module 模块Modulate 调制Molecular crystal分子晶体Monolithic IC 单片IC

MOSFET金属氧化物半导体场效应晶体管

Mos. Transistor(MOST )MOS. 晶体管Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module(MCM) 多芯片模块Multiplication coefficient倍增因子

N

Naked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resistance 负阻Nesting 套刻Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析

O

Occupied band 满带Officienay 功率Offset 偏移、失调On standby 待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器

Optical photon =photon 光子Optical quenching光猝灭Optical transition 光跃迁Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体Orientation 晶向、定向

Outline 外形Out-of-contact mask非接触式掩模Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护

Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化

P

Package 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Passination 钝化Passive component 无源元件

Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路

Period 周期Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo conduction 光电

Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoenic devices 光子器件Photolithographic process 光刻工艺(photo) resist (光敏)抗腐蚀剂

Pin 管脚Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管

Plasma 等离子体Plezoelectric effect 压电效应Poisson equation 泊松方程Point contact 点接触Polarity 极性Polycrystal 多晶Polymer semiconductor聚合物半导体

Poly-silicon 多晶硅Potential (电)势Potential barrier 势垒Potential well 势阱Power dissipation 功耗Power transistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Principal axes 主轴Print-circuit board(PCB) 印制电路板

Probability 几率Probe 探针Process 工艺Propagation delay 传输延时Pseudopotential method 膺势发

Punch through 穿通Pulse triggering/modulating 脉冲触发/调制

Pulse Widen Modulator(PWM) 脉冲宽度调制

Punchthrough 穿通Push-pull stage 推挽级

Q

Quality factor 品质因子Quantization 量子化Quantum 量子Quantum efficiency量子效应Quantum mechanics 量子力学Quasi – Fermi-level准费米能级Quartz 石英

R

Radiation conductivity 辐射电导率

Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合

Radioactive 放射性Reach through 穿通Reactive sputtering source 反应溅射源

Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间Rectifier 整流器(管)Rectifying contact 整流接触Reference 基准点 基准 参考点Refractive index 折射率

Register 寄存器Registration 对准Regulate 控制 调整Relaxation lifetime 驰豫时间

Reliability 可靠性Resonance 谐振Resistance 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)

Relaxation 驰豫Resonant frequency共射频率Response time 响应时间Reverse 反向的Reverse bias 反向偏置

S

Sampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range电流饱和区

Saturation region 饱和区Saturation 饱和的Scaled down 按比例缩小Scattering 散射Schockley diode 肖克莱二极管Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触

Schrodingen 薛定厄Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semiconductor-controlled rectifier 可控硅

Sendsitivity 灵敏度Serial 串行/串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shield 屏蔽Short circuit 短路Shot noise 散粒噪声

Shunt 分流Sidewall capacitance边墙电容

Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅Silicon On Insulator 绝缘硅

Siliver whiskers 银须Simple cubic 简立方Single crystal 单晶Sink 沉Skin effect 趋肤效应Snap time 急变时间Sneak path 潜行通路Sulethreshold 亚阈的Solar battery/cell 太阳能电池

Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Specific heat(PT) 热Speed-power product 速度功耗乘积

Spherical 球面的

Spin 自旋 Split 分裂Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射

Stacking fault 层错Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间

Stress 应力Straggle 偏差Sublimation 升华Substrate 衬底Substitutional 替位式的Superlattice 超晶格Supply 电源

Surface 表面Surge capacity 浪涌能力Subscript 下标Switching time 开关时间Switch 开关

T

Tailing 扩展Terminal 终端Tensor 张量 Tensorial 张量的Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻

Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT) 薄膜晶体

Threshlod 阈值Thyistor 晶闸管Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数

Transient 瞬态的Transistor aging(stress) 晶体管老化Transit time 渡越时间

Transition 跃迁Transition-metal silica 过度金属硅化物Transition probability 跃迁几率Transition region 过渡区Transport 输运 Transverse 横向的Trap 陷阱 Trapping 俘获Trapped charge 陷阱电荷Triangle generator 三角波发生器

Triboelectricity 摩擦电Trigger 触发Trim 调配 调整Triple diffusion 三重扩散Truth table 真值表Tolerahce 容差Tunnel(ing) 隧道(穿)Tunnel current 隧道电流Turn over 转折Turn - off time 关断时间

U

Ultraviolet 紫外的Unijunction 单结的Unipolar 单极的Unit cell 原(元)胞Unity-gain frequency 单位增益频率Unilateral-switch单向开关

V

Vacancy 空位

Vacuum 真空Valence(value) band 价带

Value band edge 价带顶Valence bond 价键

Vapour phase 汽相Varactor 变容管

Varistor 变阻器Vibration 振动

Voltage 电压

W

Wafer 晶片Wave equation 波动方程Wave guide 波导Wave number 波数Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数Worst-case device 最坏情况器件

Y

Yield 成品率

Z

Zener breakdown 齐纳击穿Zone melting 区熔法

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 半导体
    +关注

    关注

    327

    文章

    24431

    浏览量

    201846
  • 工艺
    +关注

    关注

    3

    文章

    539

    浏览量

    28574
  • 技术术语
    +关注

    关注

    0

    文章

    3

    浏览量

    6609

原文标题:收藏,半导体一些术语的中英文对照,赶紧Get起来!

文章出处:【微信号:iawbs2016,微信公众号:宽禁带半导体技术创新联盟】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    半导体术语

    一些关于半导体行业术语
    发表于 10-26 09:58

    晶圆处理工程常用术语

    。包含所有常见术语中英文对照,并辅以详细说明,可以帮助大家很好的掌握晶圆的操作。晶圆处理工程常用术语[hide][/hide]
    发表于 12-01 14:53

    SMT常用术语中英文对比

    SMT常用术语中英文对比
    发表于 08-06 11:25

    磁性材料术语中英文对照及计算定义公式

    磁性材料术语中英文对照及计算定义公式
    发表于 08-20 15:33

    收藏,半导体一些术语中英文对照,赶紧Get起来!

    得上,或者不知道怎么翻译。在这里我们整理一些常用的半导体术语中英文版本,希望对大家有所帮助。如果当中有出错,请帮忙纠正,谢谢!常用半导体
    发表于 06-29 09:39

    FPC常用术语中英文对照

    FPC常用术语中英文对照
    发表于 06-30 19:45 2330次阅读

    电子术语中英文对照

    电子术语中英文对照:常见英文缩写解释(按字母顺序排列):  ASIC: Application Specific Integrated Circuit
    发表于 06-10 01:02 1783次阅读

    电磁兼容术语 (中英文对照表)

    电磁兼容术语 (中英文对照表) 1.电磁环境 electromagnetic environment 存在于给定场所的所有电磁现象的总和。 2.电磁噪声 electromagnetic
    发表于 10-07 08:40 2631次阅读

    电池品质管理常用中英文术语

     电池品质管理常用中英文术语 缩写 英文对照 中文术语 8D 8 Disciplines Of Solving Problem
    发表于 10-22 12:01 2800次阅读

    线路板(PCB)流程术语中英文对照

    线路板(PCB)流程术语中英文对照 流程简介:开料--钻孔--干膜制程--压合--减铜--电镀--塞孔--防焊(绿漆/绿油)
    发表于 11-14 17:23 1.3w次阅读

    FPC常用术语中英文对照

    FPC常用术语中英文对照 A Accelerate Aging ——加速老化,使用人工的方法,加速正常的老化过程。 Acceptance Quality Level (AQL) —— 一批产品中最大可以接受
    发表于 12-04 08:30 2973次阅读

    线路板流程术语中英文对照

    线路板流程术语中英文对照流程简介:开料--钻孔--干膜制程--压合--减铜--电镀--塞孔--防焊(绿漆/绿油)     &
    发表于 02-21 11:04 1584次阅读

    电磁兼容(EMC)术语缩写中英文对照

    电磁兼容(EMC)术语缩写中英文对照 a.c.or ACalternating current交流电ACEC advisory committee on electromagnetic compatibility电磁兼容顾问委
    发表于 02-21 11:15 5657次阅读

    调音台有关术语中英文对照

    调音台有关术语中英文对照表 1. 传输系统频率特性的术语 ·Excess of highs高音过多 ·Deficient高音不足 ·Sharp
    发表于 04-19 15:23 9517次阅读

    全球知名半导体企业你了解多少?知名半导体企业中英文名称对照

    全球知名半导体企业你了解多少?知名半导体企业中英文名称对照
    的头像 发表于 10-03 19:18 9164次阅读