0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

数据传输差异的处理

DIri_ALIFPGA 来源:未知 作者:李倩 2018-07-05 15:25 次阅读

通常情况下,模拟输入信号通过高速ADC的量化输出的数字信号需要交给FPGA进行处理。如果高速ADC采用LVDS输出,那么经量化处理过的数字信号将会有非常多的LVDS数据差分对。而LVDS数据接收端,接收到的LVDS差分数据对相互之间可能会存在非常小的一个时间差异,该时间差异往往是皮秒级别的,而随着高速ADC采样率的提升,目前大多数的高速ADC采样速率已经达到GSPS级别。

因此皮秒级别的时间差异也会对采集到的数据产生影响。这种情况的发生,往往可能是由于LVDS数据差分对走线长度的不匹配所造成的,这种数据传输中的时间差异对于高速数据传输来说,可能会造成某些数据位的值发生变化,这就相当于向FPGA提供了错误的ADC数据。

因此,无论是在高速ADC芯片的测试评估还是在其应用当中,对这些数据传输所造成的时间差异均要进行预先的处理。

数据传输差异的处理

对于数据传输的时间差异可以有两种方式来解决,一种方法是通过ADC本身的LVDS特性来改变LVDS数据传输的延迟,这通常与LVDS的输出时钟有关。另外一种方法是使用FPGA内部的延迟功能来实现。

ADC内部延迟

对于ADC的LVDS数据输出,可以通过ADC内部集成的某些控制来改变输出时钟沿,从而达到传输延迟的目的。这种方式,不能做到有选择的对特定的LVDS数据差分对进行专门的延迟,但是,只是改变输出时钟沿是可行的。这将有助于改变所有LVDS数据差分对相互之间的传输时间关系。

FPGA内部延迟

另一种解决数据传输时间差异的方式,是通过调节FPGA内部的延迟特性,FPGA对于每个LVDS差分对都有一个延迟单元。FPGA中有称之为IDELAY的一个延迟单元,它可以来用对每个LVDS数据差分对分别进行延迟调节。FPGA的IDELAY非常灵活,可以在ADC输出到FPGA之间的任何一对LVDS差分对之间进行调节。同样,由ADC本身所带来的LVDS数据差分对的时间偏离,也可以通过FPGA的IDELAY延迟单元来进行补偿。

IDELAY延迟单元的使用并不是必须的,除非板卡设计和布局并没有进行数据对的长度匹配。

Pattern功能检查数据传输错位

为了进行设置和保持时间的验证,系统设计人员可以采用测试模式来生成可以在FPGA中验证的特定Pattern。在测试模式下,可以使用用户自定义的Pattern对每个上升沿和下降沿进行位翻转。这是用来测试FPGA和ADC的LVDS数据接口之间传输状况的最好方法。

这种测试方法确定了ADC和FPGA之间传输的好坏。如果测试模式数据传输完美的匹配每个时钟周期的测试pattern的位翻转,那么对于实际输入的设置和保持时间即是可信的。如果测试模式通过,则可以认为ADC的LVDS数据和FPGA之间的传输是合适的。

数据传输的调整

在pattern测试模式下,生成的眼图的连续的。因此,任何一个眼图窗口都可以用来对数据传输进行校准。

FPGA内部的IDELAY单元可以对输出传输进行微调,以防止由于PCB的走线或FPGA本身代码编译时的时间约束,造成的数据线之间的倾斜。

对于大多数高速ADC来说,测试pattern和数字化输入数据都来自同一个LVDS串行模块,这个模块可以维护输出时钟和输出数据之间的时间关系,因此,测试pattern和正常输入的数字化数据之间没有什么差异。但是,如果由于板卡走线,造成输出数据行之间有一定数量的耦合。那么,如果测试pattern和实际输入信号量化的数据不同于输出翻转的pattern,时间可能会略有不同。

数据传输调整的基准

通常情况下,每一个ADC的LVDS数据差分对都可以用来作为数据传输调整的基准,并以此作为其他LVDS数据差分对的参照来进行微调。

由于数据传输的差异,测试pattern生成的眼图并不一定会是从一个完整的眼图起始。因此,如果采用第一个眼图来进行校准,可能会出现所有LVDS数据输出差分对得窗口不相一致。所以,第二个眼图窗口将会是建议的首选,该眼图必然是完整的采样窗口。

确定采样窗口

通常情况下,每对LVDS差分数据的传输延迟相差不会太大,因此,我们只需要对第一对LVDS差分数据进行分析。如前文所述,第一个眼图有可能是不完整的眼图,因此,我们选择第二个眼图作为参考。

确定调整起始值

将第一对LVDS差分输出的第二个眼图的开始时间值作为参考,当作其他所有LVDS差分数据采样窗口扫描的起始值,对所有的LVDS差分数据进行扫描。并与该参考值进行比对,计算出每对LVDS差分数据延迟值,并将该延迟通过IDELAY单元加在ADC的LVDS数据差分输出和FPGA之间。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21301

    浏览量

    593092
  • 数据传输
    +关注

    关注

    9

    文章

    1522

    浏览量

    63559
  • adc
    adc
    +关注

    关注

    95

    文章

    5645

    浏览量

    539386

原文标题:高速AD中的LVDS和FPGA

文章出处:【微信号:ALIFPGA,微信公众号:FPGA极客空间】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    信号采集系统中的数据传输显示与处理

    信号采集系统中的数据传输显示与处理
    发表于 05-12 22:25

    无线数据传输模块的实际应用

    数据传输模块可以对系统采集的数据进行传输,帮助系统实现对安防信息的采集处理。二、、智慧能源环保智慧能源环保属于智慧城市的一个部分,其物联网应用主要集中在水能,电能,燃气、路灯等能源以及
    发表于 06-18 04:21

    USART数据传输模型怎么理解

    传输数据。与逐字节数据传输模型不同,读/写数据模型可以处理数据块;c)使用缓冲队列
    发表于 04-23 13:56

    DMA进行数据传输和CPU进行数据传输的疑问

    求大佬解答,本人正在学习STM32单片机中DMA直接数据存储部分的内容 看了DMA简介后,也上手过实例代码,但是没有实际的项目经验,所以有以下疑问: DMA外设在进行数据传输的操作,是否也是需要经过
    发表于 05-25 17:18

    数据传输

    通信工程丛书--数据传输 这资料还是不错的,可供参考学习哦!
    发表于 03-25 00:53 29次下载

    什么是内部数据传输

    什么是内部数据传输率       数据传输率的单位一般采用MB/s或Mbit/s,尤其在内部数据传输率上官方数据中更多的采
    发表于 06-17 07:39 1521次阅读

    基于FPDP的高速数据传输系统设计

    基于FPDP的高速数据传输系统设计 随着电子技术的高速发展,越来越多的信号处理系统,需要高速的数据采集和大吞吐量的数据传输,来实现数据的高
    发表于 12-01 09:41 2052次阅读
    基于FPDP的高速<b class='flag-5'>数据传输</b>系统设计

    Modem数据传输标准

     Modem数据传输标准 数据传输标准是指MODEM的
    发表于 12-28 13:29 919次阅读

    RAID卡的数据传输速度

    RAID卡的数据传输速度              数据传输速度是指硬盘接口的传输速度。比如ATA100接口硬盘的
    发表于 01-09 10:47 1906次阅读

    什么是U盘的数据传输

    什么是U盘的数据传输率     便携存储是依靠USB接口与系统相连,其接口的速度就限制着移动硬盘的数据传输率。目前的US
    发表于 01-30 10:21 627次阅读

    数据传输,数据传输的工作方式有哪些?

    数据传输,数据传输的工作方式有哪些? 将数据从一个地方传输到另一个地方的方法多得令人难以置信。 数
    发表于 03-18 14:41 5950次阅读

    数据传输速率是什么意思

    数据传输速率是什么意思 数据传输速率是通过信道每秒可传输的数字信息量的量度。数据传输速率也称为吞吐率。数据传输速率由很
    发表于 03-18 14:45 4940次阅读

    数据传输中的成帧

    什么是数据传输中的成帧 数据传输中的成帧 成帧技术是一种用来在一个比特流内分配或标记信道的技术,为电信提供选择基本的时隙结构和管理方式、错误
    发表于 03-18 14:46 3671次阅读

    基于ARM处理器和FPGA在数据传输中的应用与研究

    基于ARM处理器和FPGA在数据传输中的应用与研究
    发表于 10-15 10:28 4次下载
    基于ARM<b class='flag-5'>处理</b>器和FPGA在<b class='flag-5'>数据传输</b>中的应用与研究

    基于手机的光谱仪数据传输处理综述

    基于手机的光谱仪数据传输处理综述
    发表于 07-12 14:22 21次下载