0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

通过FPGA DIY开发板实现DS18B20的LCD显示

英特尔 Altera视频 2018-06-20 01:26 次阅读
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1600

    文章

    21281

    浏览量

    592872
  • Altera
    +关注

    关注

    37

    文章

    771

    浏览量

    153289
  • DIY
    DIY
    +关注

    关注

    176

    文章

    883

    浏览量

    345862
  • DS18B20
    +关注

    关注

    10

    文章

    765

    浏览量

    80064
收藏 人收藏

    评论

    相关推荐

    DS18B20

    各位大神帮知道为什么DS18B20和12864显示的数据不一样吗??DS18B20的子函数是看别人的,感觉没什么错误! //初始化ds1820bit Init_
    发表于 05-11 14:22

    为什么DS18B20检测的温度总是显示85℃?

    DS18B20进行温度检测,通过51单片机和LCD进行温度值显示,但是显示的温度总是为85℃,想请问一下大家,可能出现问题的地方?
    发表于 08-07 11:53

    verilog代码 DS18b20

    基于fpga的温控风扇课题,用DS18b20采集温度,用LCD1602显示温度,当中也有人体红外检测模块,也有DA0832转换,可实现温控自
    发表于 07-04 20:42

    DS18B20LCD1206和数码管显示温度

    本人初学FPGA写一个DS18B20测温用数码管和LCD162显示温度的程序,可是只能在数码管正确显示温度却不能在
    发表于 04-10 15:19

    proteus仿真DS18B20温度显示不出来

    用proteus 仿真时DS18B20温度显示不出来,LCD1602没反应,把程序烧进单片机开发板上又可以显示,这是什么问题?急需大神解答,
    发表于 03-26 16:53

    ProASICplus系列FPGA实现DS18B20的通信功能

    现场可编程门阵列(FPGA)等可编程逻辑器件(PLD)实现对1-WIRE器件的通信。本文介绍利用ACTEL公司的ProASICplus系列FPGA实现
    发表于 04-28 07:37

    如何去实现FPGADS18B20之间的通信?

    DS18B20是什么?如何去实现FPGADS18B20之间的通信?
    发表于 05-07 06:48

    DS18B20温度传感器实验

    单片机驱动DS18B20温度传感器实现温度的串口打印显示,本实验中STM32基于HAL库开发。本文全程记录实验过
    发表于 08-18 07:44

    DS18B20简介

    开发板相关模块电路图如下:2、DS18B20的温度转换规则DS18B20可以直接读出数字的温度数值。温度传感器的精度为用户可编程的9、10、11或12位,分别以0.5℃,0.25℃,0.125
    发表于 11-22 07:21

    DS18B20的相关资料分享

    与主机通信,只需要一根线就可以完成温度数据读取;DS18B20内置了64位产品序列号,方便识别身份,在一根线上可以挂接多个DS18B20传感器,通过64位身份验证,可以分别读取来至不同传感器采集的温度信息。二、
    发表于 11-30 06:22

    设计DS18B20温度检测电路的资料大合集

    一、实验目的设计DS18B20温度检测电路,当温度超过每个设定值的时候,可自动停止步进电机电机转动(可实现温度自动控制);根据需要将温度值显示在数码管上(本次设计个人采用LCD1602
    发表于 11-30 06:47

    DS18B20的特点是什么

    范围为 -55 ℃ ~+ 125 ℃ ; 在 -10~+ 85°C 范围内,精度为 ± 0.5°C 。(3)持多点组网功能 多个 DS18B20 可以并联在惟一的单线上,实现多点测温。(4)测量参数可配置 DS18B20 的测量
    发表于 01-10 06:25

    DS18B20 液晶显示1602

    DS18B20 液晶显示1602 单片机液晶显示读取DS18B20温度,通过LCD1602
    发表于 03-16 10:50 57次下载

    Verilog实现DS18B20测量温度以及LCD屏幕的显示程序和工程文件

    本文档的主要内容详细介绍的是使用DS18B20和LM595FPGA实现ds18b20测量温度以及LCD屏幕的
    发表于 01-06 08:00 14次下载
    Verilog<b class='flag-5'>实现</b><b class='flag-5'>DS18B20</b>测量温度以及<b class='flag-5'>LCD</b>屏幕的<b class='flag-5'>显示</b>程序和工程文件

    带TTGO T显示屏和DS18B20DIY温度计

    电子发烧友网站提供《带TTGO T显示屏和DS18B20DIY温度计.zip》资料免费下载
    发表于 02-03 10:00 0次下载
    带TTGO T<b class='flag-5'>显示</b>屏和<b class='flag-5'>DS18B20</b>的<b class='flag-5'>DIY</b>温度计