0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Synopsys 设计平台获得TSMC最新版且最先进的5nm工艺

电子工程师 来源:网络整理 作者:工程师d 2018-06-01 09:35 次阅读

Synopsys近日宣布, Synopsys 设计平台获得TSMC最新版且最先进的5nm工艺技术认证,可用于客户先期设计。通过与TSMC的早期密切协作,IC CompilerII 的布局及布线解决方案采用下一代布局和合法化技术,最大限度地提高可布线性和总体设计利用率。借助重要的设计技术协同优化工作,通过使用PrimeTime Signoff和StarRC提取技术实现ECO闭合,IC Compiler II 实现了对高度紧凑的单元库的支持。对于TSMC 5nm极紫外光刻(EUV)技术来说,通过部署非缺省规则处理和布线层优化的通用技术,最大限度地提高了寄生优化的新机会,从而创建出高度收敛的RTL-to-GDSII实现方案。

PrimeTime时序分析和Signoff认证解决方案中的先进技术,已扩展到整个数字实现平台,以实现面向TSMC 5nm工艺节点的快速增长市场的差异化设计。PrimeTime中的参数化片上偏差 (POCV) 分析得到了加强,可精确获取由于工艺缩放和低电压运行而导致的非线性变化,而这些手段过去常用于实现上述目标应用的能源效率。


TSMC 5nm认证还包括IC Validator物理验证Signoff,支持DRC、LVS和金属填充。TSMC发布设计规则的同时也发布运行集。TSMC和 Synopsys 之间的深度技术合作可实现先进的工艺特性,如新的多网格填充优化和LVS双层次抽取。

为了加速可靠的模拟定制和数模混合信号设计, HSPICE仿真器以及 CustomSim和 FineSim FastSPICE 仿真器也都进行了优化,可支持TSMC 5nm FinFET工艺。该解决方案结合CustomSim先进的IR/EM 可靠性分析能力,加快了AMS验证,以支持可靠的AMS设计。

TSMC设计基础架构营销事业部资深处长Suk Lee表示: “我们与Synopsys在5nm工艺的合作可以为客户在设计过程中带来更高性能和更低功耗。为帮助客户在5nm工艺技术的支持下实现目标PPA,TSMC和Synopsys一直在广泛的设计风格上展开合作,以推动并让设计性能实现最大化。”

Synopsys设计事业群营销和业务开发副总裁Michael Jackson表示:“考虑到5nm工艺技术在规则和进步方面的复杂性,我们必须进一步提早开始与TSMC的合作周期。此外,我们还必须提早开始与早期5nm技术采用者的接触。新工艺节点正在以前所未有的速度引入,我们与TSMC的合作确保了企业设计人员能够在新节点上满怀信心地设计,同时最大限度地提高他们的投资回报。”

TSMC可提供Synopsys Design Platform技术文件、库和寄生参数,以便在5nm技术工艺中进行先期设计。获得TSMC 5nm FinFET工艺认证的 Synopsys Design Platform的主要产品和特点包括:

• IC Compiler II 布局和布线: 全自动、全着色布线及抽取支持,下一代布局和合法化技术以减少单元占板面积缩小,实现高设计利用率的高级合法化和引脚访问建模,以及实现通孔柱技术的流量部署,最大限度提高性能和器件产量;
• PrimeTime Signoff时间:低电压的高级建模;
• StarRC Signoff提取:FinFET 器件扩展的高级建模;
• IC Validator物理验证Signoff: 同时开发DRC、LVS和填充运行集,TSMC发布设计规则的同时也发布DRC 运行集;
• HSPICE,CustomSim和FineSim仿真解决方案: 采用Monte Carlo功能支持的FinFET 器件建模;提供精确电路仿真结果,可实现模拟、逻辑、高频和SRAM设计;
• Custom Compiler自定义设计:支持TSMC 5nm新版图设计规则;
• NanoTime自定义时序分析:基于高级转换的POCV变量分析和增强信号完整性分析,优化嵌入式SRAM和自定义宏的入侵处理;
• ESP 自定义功能验证: 用于 SRAM、宏和库单元设计的晶体管级形式化等价性验证;
• CustomSim可靠性分析:用于高级 EM规则支持的精确动态晶体管级 IR/EM 分析。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 台积电
    +关注

    关注

    43

    文章

    5244

    浏览量

    164761
  • 晶体管
    +关注

    关注

    76

    文章

    9025

    浏览量

    135026
收藏 人收藏

    评论

    相关推荐

    台积电冲刺2nm量产,2nm先进制程决战2025

    人员接手试产及量产作业的种子团队,推动新竹宝山和高雄厂于 2024年同步南北试产、2025年量产。   从1971的10000nm制程到5nm,从5nm向3nm、2
    的头像 发表于 08-20 08:32 2145次阅读
    台积电冲刺2<b class='flag-5'>nm</b>量产,2<b class='flag-5'>nm</b><b class='flag-5'>先进</b>制程决战2025

    莱迪思全新版本Radiant设计软件进一步扩展了功能安全和可靠性

    莱迪思Radiant集成了最新版Synopsys Synplify和三重模块化冗余(TMR),可创建先进的设计自动化流程解决方案
    的头像 发表于 04-03 15:50 288次阅读

    TSMCSynopsys将在生产中使用NVIDIA计算光刻平台

    NVIDIA 于今日宣布,为加快下一代先进半导体芯片的制造速度并克服物理限制,TSMCSynopsys 将在生产中使用 NVIDIA 计算光刻平台
    的头像 发表于 03-20 09:52 144次阅读

    TSMC子公司(VIS)决定在新加坡建立最先进的半导体制造工厂

    据知情人士透露,台湾积体电路制造有限公司(TSMC)的子公司Vanguard International Semiconductor(VIS)即将决定在新加坡建立其最先进的半导体制造工厂。台积电持有
    的头像 发表于 11-07 15:49 1254次阅读

    求分享Kendryte Standalone SDK Programming Guide最新版

    请问下载区的开发指南是不是不是最新版的,希望能有新版的开发指南 有中文版的吗?还有请问SDK中APU这一模块有详细的开发说明吗?
    发表于 09-15 07:03

    最新版ST电机控制参考指南

    电子发烧友网站提供《最新版ST电机控制参考指南.pdf》资料免费下载
    发表于 07-31 11:00 6次下载
    <b class='flag-5'>最新版</b>ST电机控制参考指南

    Cadence发布面向TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP
    的头像 发表于 07-10 09:26 431次阅读

    揭秘半导体制程:8寸晶圆与5nm工艺的魅力与挑战

    在探讨半导体行业时,我们经常会听到两个概念:晶圆尺寸和工艺节点。本文将为您解析8寸晶圆以及5nm工艺这两个重要的概念。
    的头像 发表于 06-06 10:44 1567次阅读
    揭秘半导体制程:8寸晶圆与<b class='flag-5'>5nm</b><b class='flag-5'>工艺</b>的魅力与挑战

    中国目前最先进的***是哪个?

    中国目前最先进的国产芯片是哪个呢?
    的头像 发表于 05-29 09:44 1.9w次阅读

    三大顶流半导体厂商高端工艺逐鹿,你更看好谁

    在代工行业,采用先进工艺节点更能带来明显的成本竞争优势。2020年,台积电(TSMC)是业界唯一同时使用7nm5nm
    的头像 发表于 05-20 14:58 650次阅读

    Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP
    发表于 05-19 16:25 797次阅读
    Cadence 发布面向 <b class='flag-5'>TSMC</b> 3<b class='flag-5'>nm</b> <b class='flag-5'>工艺</b>的 112G-ELR SerDes IP 展示

    Cadence发布面向TSMC 3nm工艺的112G-ELR SerDes IP展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP
    的头像 发表于 05-19 15:23 693次阅读
    Cadence发布面向<b class='flag-5'>TSMC</b> 3<b class='flag-5'>nm</b><b class='flag-5'>工艺</b>的112G-ELR SerDes IP展示

    如何获得为在Cortex-A53上运行的QNX编译的最新版本?

    GitHub 项目,他们说它是通过包装器集成为动态库 `librpmsg_lite-imx.so`,位于 `BSP/sr/lib/rpmsg_lite `文件夹。 如何获得为在 Cortex-A53 上运行的 QNX 编译的最新版本?
    发表于 05-17 06:48

    MPO/MTP分支光纤跳线规格书(最新版

    电子发烧友网站提供《MPO/MTP分支光纤跳线规格书(最新版).pdf》资料免费下载
    发表于 05-06 09:22 4次下载

    好消息!国产EDA龙头,华大九天支持5nm先进工艺,已开始商业化!

    自主、可控、创新、突破 1 前言 大家好,我是硬件花园! 2月13日,华大九天宣布,公司部分数字工具支持5nm,并且已经实现商业化! 在接受机构调研时,华大九天表示,公司发布新产品主要有三个前提
    的头像 发表于 04-20 03:01 1773次阅读