0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA中不可综合语句 相关知识

FPGA学习交流 来源:互联网 作者:佚名 2018-05-21 14:56 次阅读

大家好,又到了每日学习的时间了,今天我们来聊一聊FPGA中不可综合语句 相关知识。



(1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not, bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。
(2)所有综合工具都不支持的结构:time,defparam,$finish,fork,join,initial,delays,UDP,wait。
(3)有些工具支持有些工具不支持的结构:casex,casez,wand,triand,wor,trior,real,disable,forever,arrays,memories,repeat,task,while。



建立可综合模型的原则
要保证Verilog HDL赋值语句的可综合性,在建模时应注意以下要点:
(1)不使用initial。
(2)不使用#10。
(3)不使用循环次数不确定的循环语句,如forever、while等。
(4)不使用用户自定义原语(UDP元件)。
(5)尽量使用同步方式设计电路。
(6)除非是关键路径的设计,一般不采用调用门级元件来描述设计的方法,建议采用行为语句来完成设计。
(7)用always过程块描述组合逻辑,应在敏感信号列表中列出所有的输入信号。
(8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器件的全局复位端作为系统总的复位。
(9)对时序逻辑描述和建模,应尽量使用非阻塞赋值方式。对组合逻辑描述和建模,既可以用阻塞赋值,也可以用非阻塞赋值。但在同一个过程块中,最好不要同时用阻塞赋值和非阻塞赋值。
(10)不能在一个以上的always过程块中对同一个变量赋值。而对同一个赋值对象不能既使用阻塞式赋值,又使用非阻塞式赋值。
(11)如果不打算把变量推导成锁存器,那么必须在if语句或case语句的所有条件分支中都对变量明确地赋值。
(12)避免混合使用上升沿和下降沿触发的触发器。
(13)同一个变量的赋值不能受多个时钟控制,也不能受两种不同的时钟条件(或者不同的时钟沿)控制。
(14)避免在case语句的分支项中使用x值或z值。




1、initial
只能在test bench中使用,不能综合。(我用ISE9.1综合时,有的简单的initial也可以综合,不知道为什么)
2、events
event在同步test bench时更有用,不能综合。
3、real
不支持real数据类型的综合。
4、time
不支持time数据类型的综合。
5、force 和release
不支持force和release的综合。
6、assign 和deassign
不支持对reg 数据类型的assign或deassign进行综合,支持对wire数据类型的assign或deassign进行综合。
7、fork join
不可综合,可以使用非块语句达到同样的效果。
8、primitives
支持门级原语的综合,不支持非门级原语的综合。
9、table
不支持UDP 和table的综合。
10、敏感列表里同时带有posedge和negedge
如:always @(posedge clk or negedge clk) begin...end
这个always块不可综合。
11、同一个reg变量被多个always块驱动
12、延时
以#开头的延时不可综合成硬件电路延时,综合工具会忽略所有延时代码,但不会报错。
如:a=#10 b;
这里的#10是用于仿真时的延时,在综合的时候综合工具会忽略它。也就是说,在综合的时候上式等同于a=b;
13、与X、Z的比较
可能会有人喜欢在条件表达式中把数据和X(或Z)进行比较,殊不知这是不可综合的,综合工具同样会忽略。所以要确保信号只有两个状态:0或1。
如:
1 module synthesis_compare_xz (a,b);
2 output a;
3 input b;
4 reg a;
5
6 always @ (b)
7 begin
8 if ((b == 1'bz) || (b == 1'bx)) begin
9 a = 1;
10 end else begin
11 a = 0;
12 end
13 end
14
15 endmodule



今天就聊到这里这里,后续还会更新,加油,各位!

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1601

    文章

    21296

    浏览量

    593069
收藏 人收藏

    评论

    相关推荐

    C语言基础知识(5)--循环语句

    C语言基础知识(5)--循环语句
    的头像 发表于 06-15 10:18 1369次阅读
    C语言基础<b class='flag-5'>知识</b>(5)--循环<b class='flag-5'>语句</b>

    #硬声创作季 #FPGA FPGA-03-04 例解可综合不可综合语法-1

    fpga
    水管工
    发布于 :2022年10月29日 01:47:13

    #硬声创作季 #FPGA FPGA-03-04 例解可综合不可综合语法-2

    fpga
    水管工
    发布于 :2022年10月29日 01:47:35

    verilog不可综合语句总结

    级元件来描述设计的方法,建议采用行为语句来完成设计。 (7)用always过程块描述组合逻辑,应在敏感信号列表列出所有的输入信号。 (8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时
    发表于 02-27 15:01

    Verilog generate if语句如何用systemc实现?

    ( ? :)吗?或者说:systemc中三目运算符( ? :)是不可综合的?[groupid=554]FPGA[/groupid]
    发表于 08-29 16:11

    verilog可综合不可综合-学习一下

    (或者不同的时钟沿)控制。 (14)避免在case语句的分支项中使用x值或z值。xz的操作不可综合。尽量避免。 不能综合语句: 1、ini
    发表于 01-05 19:42

    FPGA笔记:for语句的使用(verilog)

    类似C的环路结构如for-loop可能对学过C语言的人存在陷阱。其原因是在硬件语言中并没有隐含的寄存器这个条件,所以一般这些环路不可以在可综合代码中用来做算法迭代。在Verilog,for循环一般
    发表于 08-08 11:02

    C51原理及相关基础入门知识

    C51原理及相关基础入门知识 第一章:C51 流程控制语句一、分类条件语句、循环语句和开关语句
    发表于 03-12 14:37 30次下载

    基于Synplify的FPGA高级综合设计

    Synplify /Synplify Pro简介 综合工具在FPGA的设计中非常重要,类似于C语言的编译器将C语言翻译成机器能执行的代码,综合工具将HDL描述的语句转换为EDA工具可以
    发表于 03-30 10:15 163次下载
    基于Synplify的<b class='flag-5'>FPGA</b>高级<b class='flag-5'>综合</b>设计

    verilog可综合不可综合语句概述

    发表于 07-24 23:48 19次下载

    verilog 不可综合语句

    发表于 12-02 13:41 6次下载

    综合语音通信平台的研究与实现

    综合语音通信平台的研究与实现
    发表于 09-01 14:30 9次下载
    <b class='flag-5'>综合语</b>音通信平台的研究与实现

    Verilog可综合的循环语句

    Verilog中提供了四种循环语句,可用于控制语句的执行次数,分别为:for,while,repeat,forever。其中,for,while,repeat是可综合的,但循环的次数需要在编译之前就确定,动态改变循环次数的
    发表于 10-13 12:23 1.8w次阅读

    FPGA不可综合语句汇总

    (1)所有综合工具都支持的结构always, assign, begin, end, case, wire, triaupplyo, supply 1, reg, integer, default
    发表于 02-01 11:08 9次下载

    verilog语言的可综合性和仿真特性

    综合就是将HDL语言转化成与,非,或门等等基本逻辑单元组成的门级连接。因此,可综合语句就是能够通过EDA工具自动转化成硬件逻辑的语句
    发表于 06-28 10:39 947次阅读