0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

关于quartus如何调用modelsim详细解说

姚小熊27 来源:网络整理 2018-05-18 10:39 次阅读

Quartus II 11.0中调用ModelSim-Altera 6.5e详细

一、Altera官网下载两款软件并安装

下载网址:https://www.altera.com/download/software/modelsim/zh_cn,选择Quartus II 网络版、ModelSim-Altera入门版。目前这两款软件都是免费的,不需要许可证,安装简单,使用期限是30天。

【注意】务必记住安装的路径,特别是ModelSim-Altera的安装路径。

关于quartus如何调用modelsim详细解说

二、指定ModelSim-Altera 6.5e的安装路径

打开Quartus II 11.0软件,新建工程和文件并保存。

1.然后在菜单栏选择 tools-》options;

2.在options选项卡中选中EDA tool options;

3.在该选项卡中下面的ModelSim-Altera一项指定安装路径为E:/Altera/11.0/modelsim_ae/win32aloem(其中E:/Altera/11.0/modelsim_ae/为我电脑中ModelSim-Altera 6.5e的安装路径)

【注意】 如果没有指定ModelSim-Altera 6.5e的安装路径,调用ModelSim-Altera的时候会出现如下的错误提示:

关于quartus如何调用modelsim详细解说

三、指定Quartus II 11.0仿真软件

在Quartus II 11.0界面菜单栏中选择Assignments-》Settings。

1.选中该界面下EDA Tool settings中的Simulation一项;

2.Tool name中选择ModelSim-Altera;

3.Format for output netlist中选择开发语言的类型Verilog或者VHDL等,

4.Time scale 指定时间单位级别

5.Output directory指定测试文件模板的输出路径(该路径是工程文件的相对路径)。

关于quartus如何调用modelsim详细解说

四、生成仿真测试文件

选择Quartus II 11.0开发界面菜单栏下Processing-》Start-》Start Test Bench Template Writer,提示生成成功。

关于quartus如何调用modelsim详细解说

五、配置选择仿真文件

打开仿真测试文件(在上述3中指定的Output directory 目录下找到后缀名为“.vt”的文件)并根据自己需要进行编辑。

1. 在Quartus II 11.0界面菜单栏中选择Assignments-》Settings-》EDA Tool settings-》Simulation;

2.选择Compile test bench右边的Test benches;

关于quartus如何调用modelsim详细解说

3.然后在出现的界面中选择New,在新出现的界面中Test bench name 输入测试文件名字,在Top level module in test bench 栏中输入测试文件中的顶层模块名;

4.选中Use test bench to perform VHDL timing simulation并在Design instance name in test bench中输入设计测试文件中设计例化名默认为i1;

5.然后在Test bench files栏下的file name 选择测试文件(在第3步中指定的测试文件输出路径下的后缀名为“ .vt ” 文件的测试文件),然后点击add,一步一步OK。

关于quartus如何调用modelsim详细解说

【注意】Test bench name和Top level module in test bench 以及Design instance name in test bench分别为“.vt”文件的文件名、vt文件中顶层实体模块名、Verilog或者VHDL文件中的模块的例化名。

六、仿真文件配置完成后回到Quartus II 11.0 开发界面

在Quartus II 11.0界面菜单栏中选择菜单栏Tools中的Run EDA Simulation Tool-》EDA RTL Simulation 进行行为级仿真,接下来就可以看到ModelSim-Altera 6.5e的运行界面,观察仿真波形。

Quartus II调用modelsim无缝仿真详细图文教程

1. 设定仿真工具

assignmentèsettingèEDA tool settingèsimulation 选择你需要的工具。

关于quartus如何调用modelsim详细解说

2. 自动产生测试激励文件模板:

processingèstartèStart test bench template writer

关于quartus如何调用modelsim详细解说

我们点击之后系统会自动在目录:当前文件夹è simulation è modelsim (这个文件夹名字跟你选的仿真工具有关) 中产生一个测试激励文件 xxx.vt(Verilog test bench) 或者 xxx.vht(VHDL test bench), 文件名跟你工程中的Top module 的名字一样, 后缀为.vt或者.vht。

3. 编辑走动生成的test bench文件

我们加入自己需要的激励以及初始化语句,这里我们还要修改test bench的模块名字为tb(我们会看到这个名字和后面的设定有联系)。

关于quartus如何调用modelsim详细解说

4. 连接test bench,我们需要从Quartus中自动调用仿真工具,所以需要设定Native Link选项。

a) 还是在simulation的设置页面里,设定 Native Link对话框中的设定。我们这里因为需要工具自动调用激励所以选中

关于quartus如何调用modelsim详细解说

b) 点击右边的Test Benches, 我们需要在这里设定一个相关联的test bench.

关于quartus如何调用modelsim详细解说

这里会弹出一个让你指定test bench的对话框,因为我们之前没有指定任何的test bench,所以这里是空白的。

c) 指定test bench

因为我们是第一次产生test bench,点击new.

关于quartus如何调用modelsim详细解说

点击New之后会产生一个New Test bench setting的对话框,在这里你将test bench和你的相应的test bench file进行绑定。

关于quartus如何调用modelsim详细解说

我们这里在Test bench name的对话框中输入一个名字”my_1st_tb”, 我们将看到,在下面的Top level module in test bench对话框中也自动显示”my_1st_tb”。 注意这个名字应该和你的test bench 中的module name一直,我们之前在第3步的时候将test bench的module name已经改成了tb,所以我们这里应该把对话框中的名字改成tb。

关于quartus如何调用modelsim详细解说

d) 加入test bench文件

关于quartus如何调用modelsim详细解说

5. 进行仿真

当这些设定都完成了之后, 选择菜单

toolèRun EDA Simulation toolèEDA RTL simulation

关于quartus如何调用modelsim详细解说

就可以直接调用modelsim进行仿真。

6. 小技巧:

我们这样调用仿真,如果是Modelsim AE每次不会编译lib文件,但如果我们使用的是Modelsim SE版本,每次调用都需要重新编译库,非常不爽,在这里我们建议自己修改脚本文件,进行仿真。

a) 当我们按照之前的描述,运行完仿真之后,停留在Modelsim的界面。

b) 在Modelsim界面的命令行上,我们点向上的方向键,就会出现我们上一条指令, 我们可以看到是

do xxxx.do 这说明工具执行的上一个命令式 xxxx.do这个脚本文件,我们这里的例子是

do oversampling_core_run_msim_rtl_verilog.do

i. 我们知道了工具执行的脚本,我们就可以按照自己的想法去改变这个脚本了。使用edit oversampling_core_run_msim_rtl_verilog.do命令,可以看到这个脚本的内容(当然我们也可以使用Ultra Edit或者VIM等文本编辑软件去打开这个脚本文件)。这个脚本通常分成3部分 库文件编译部分,设计文件编译,运行参数设定和开始执行部分

关于quartus如何调用modelsim详细解说

ii. 通常来说库文件只在第一次编译的时候,需要编译,后面我们只需要在仿真的时候指定库文件的位置就好了,不需要每次都编译。因此我们可以注释掉“库文件编译部分”。下面图中红色框中的部分就是被注释掉的库编译部分,这样会节省我们的仿真时间,注意在脚本语言中#是注释符。

iii. 我们将编辑过的脚本文件另存为sim.do, 在以后的仿真中我们可以在Modelsim命令行中,直接在脚本中运行do sim.do(当然是先需要将Modelsim的工作目录改到 工程所在文件夹/simulation/modelsim/)。

c) 另外脚本生成的波形文件通常是将test bench的顶层加入到图形画面中我们可以看到在脚本的第3部分(运行参数和开始执行)部分,默认命令式

add wave *, 这条命令就是讲 test bench顶层的所有信好加入到wave窗口中。

对我们来说,在调试阶段,有很多底层信号都是想观测的,所以需要再手动修改一下命令

i. 在modelsim的窗口中,选中自己想要关心的模块,右键可以将自己关心的信号加入wave波形中

关于quartus如何调用modelsim详细解说
关于quartus如何调用modelsim详细解说

ii. 此时我们在wave 窗口中可以将这个波形的格式存下来,在wave窗口中点菜单fileèsave… 选择文件名为wave.do.

iii. 在我们执行的脚本中将add wave *,这个命令替换成do wave .do, 就可以在每次执行仿真的时候自动添加想要观测的波形了。

关于quartus如何调用modelsim详细解说

7. 接下来最后一个问题,怎样才能一次性的编译好库文件,让软件不再每次编译。其实altera已经为客户准备了相应的选项,只不过藏得比较深,不好找。

a) 一次性编译库:

i. 点击toolè launch EDA simulation Library Compiler

关于quartus如何调用modelsim详细解说

ii. 我们会看到一个让我们选择器件的页面。根据你的实际情况选择下面的设定。点击start compile,软件会自动帮你完成编译,然后关掉就好,至此你应该已经完成了库的编译。

关于quartus如何调用modelsim详细解说

iii. 接下来我们需要在QII的仿真设定页面做一些修改。

我们需要回到设定界面

Assignmentèsettingèsimulationèmore Nativelink setting

关于quartus如何调用modelsim详细解说

设定好相应的路径。

关于quartus如何调用modelsim详细解说

好了,重新run仿真,就发现不会再编译我们的库文件了。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46749
  • quartus
    +关注

    关注

    16

    文章

    169

    浏览量

    74267
收藏 人收藏

    评论

    相关推荐

    modelsim-altera6.5破解和quartus调用

    modelsim-altera6.5破解和quartus调用
    发表于 04-09 11:48

    关于调用modelsim

    quartus11.0中调用modelsim_altera_ase时,出现了modelsim窗口,但是没有出现任何仿真波形,请问是怎么回事,谢谢
    发表于 11-15 22:35

    FPGA调用quartus求助

    刚开始学习FPGA,使用quartus2 13.0调用modelsim-altera时,能够成功打开modelsim,却没有波形,工程是开发板配套的例程,应该没有错,text benc
    发表于 12-12 12:09

    Quartus调用ModelSim问题

    Quartus调用ModelSim后一直显示loading...,请问怎么回事啊?ModelSim也打开了呀?
    发表于 08-03 09:21

    求助:关于quartus II 和 modelsim 联合仿真设置问题

    quartus 调用modelsim 的时候报错:Error (22035): Failed to launch NativeLink simulation (quartus_sh
    发表于 03-30 12:37

    Quartus17.0调用Modelsim仿真PLL无输出

    的10CL016E144C8目前尝试办法:①选择Cyclone4的器件,调用C4的PLL IP仿真,IP可以正常仿真;②更换Modelsim SE10.5(破解版),仿真c0输出高阻;③安装Quartus
    发表于 11-05 11:59

    quartus 17.1调用modelsim 出错

    quartus 17.1调用modelsim 出错,显示TCL OPERATION LOADNOTSTATIC,应该怎么解决?
    发表于 07-09 09:10

    在Altera Quartus II下如何调用ModelSim进行仿真?

    在Altera Quartus II下如何调用ModelSim进行仿真?
    发表于 04-30 07:15

    FPGA视频教程之Quartus.II调用ModelSim仿真实例详细资料说明

    本文档的主要内容详细介绍的是FPGA视频教程之Quartus.II调用ModelSim仿真实例详细资料说明。
    发表于 03-05 10:46 13次下载
    FPGA视频教程之<b class='flag-5'>Quartus</b>.II<b class='flag-5'>调用</b><b class='flag-5'>ModelSim</b>仿真实例<b class='flag-5'>详细</b>资料说明

    Quartus.II调用ModelSim仿真实例

    如果是第一次使用modelsim,需要建立Quartus ii12.0和modelsim的链接。Quartus II12.0-》Tools-》option-》EDA Tool opti
    的头像 发表于 03-07 15:45 2.4w次阅读

    使用Vivado 2017调用Modelsim详细步骤

    10.5,发现Modelsim10.5的版本也是可以使用的。笔者尝试过Modelsim10.4,发现该版本不支持。所以需要使用Vivado2017.3来调用Modelsim的工程师,需
    发表于 03-30 09:51 1.7w次阅读
    使用Vivado 2017<b class='flag-5'>调用</b><b class='flag-5'>Modelsim</b>的<b class='flag-5'>详细</b>步骤

    FPGA的视频教程之modelsimquartus的使用详细资料说明

    本文档的主要内容详细介绍的是FPGA的视频教程之modelsimquartus的使用详细资料说明。
    发表于 03-26 16:55 32次下载
    FPGA的视频教程之<b class='flag-5'>modelsim</b>和<b class='flag-5'>quartus</b>的使用<b class='flag-5'>详细</b>资料说明

    如何在Quartus13.0中调用Modelsim详细的步骤说明

    本文档的主要内容详细介绍的是结合实例,通过图片及配套文字解说,分步骤详细介绍了Quartus13.0环境中调用
    发表于 07-01 08:00 8次下载
    如何在<b class='flag-5'>Quartus</b>13.0中<b class='flag-5'>调用</b><b class='flag-5'>Modelsim</b><b class='flag-5'>详细</b>的步骤说明

    FPGA的Quartus ModelSim的安装介绍和使用等基本操作资料免费下载

    本文档的主要内容详细介绍的是FPGA的QuartusModelSim等安装介绍和使用等基本操作资料免费下载。
    发表于 03-23 15:49 23次下载
    FPGA的<b class='flag-5'>Quartus</b> <b class='flag-5'>ModelSim</b>的安装介绍和使用等基本操作资料免费下载

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Models
    的头像 发表于 07-24 09:04 1952次阅读
    Vivado<b class='flag-5'>调用</b><b class='flag-5'>Modelsim</b>仿真