0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

硬件乘法器是什么?

DIri_ALIFPGA 来源:未知 作者:胡薇 2018-05-11 10:52 次阅读

硬件乘法器是现代计算机中必不可少的一部分,其基础是加法器结构。

硬件乘法器,其基础就是加法器结构,它已经是现代计算机中必不可少的一部分。[1]乘法器的模型就是基于“移位和相加”的算法。在该算法中,乘法器中每一个比特位都会产生一个局部乘积。第一个局部乘积由乘法器的LSB产生,第二个乘积由乘法器的第二位产生,以此类推。如果相应的乘数比特位是1,那么局部乘积就是被乘数的值,如果相应的乘数比特位是0,那么局部乘积全为0。每次局部乘积都向左移动一位。

乘法器可以用更普遍的方式来表示。每个输入,局部乘积数,以及结果都被赋予了一个逻辑名称(如A1、A2、B1、B2),而这些名称在电路原理图中就作为了信号名称。在原理图的乘法例子中比较信号名称,就可以找到乘法电路的行为特性。

在乘法器电路中,乘数中的每一位都要和被乘数的每一位相与,并产生其相应的乘积位。这些局部乘积要馈入到全加器的阵列中(合适的时候也可以用半加器),同时加法器向左移位并表示出乘法结果。最后得到的乘积项在CLA电路中相加。注意,某些全加器电路会将信号带入到进位输入端(用于替代邻近位的进位)。这就是一种全加器电路的应用;全加器将其输入端的任何三个比特相加。

随着乘数和被乘数位数的增加,乘法器电路中的加法器位树也要相应的增加。通过研究CLA电路的特性,也可以在乘法器中开发出更快的加法阵列。

电路结构

从理论上讲,两个二进制N位操作数相乘,乘积的总宽度为2N,因此需要一个宽度为2N的移位寄存器和加法器。但在实际执行过程中,一是每个部分积的宽度和移位相加的有效宽度都为N位,从资源的利用率角度考虑,仅需N位宽度的加法器即可;二是按照先移位再相加的原理,两个N位操作数则需要2N个时钟周期才能完成整个运算,在此考虑将移位和相加两个运算步骤合并,从速度上就可在N个时钟周期内完成。

根据上述分析,8位移位相加型硬件乘法器应包括16位锁存器、8位移位寄存器、8位乘法器、8位加法器等4个组成部分。具体电路结构如图1所示。

锁存器发挥着锁存的作用,用于锁存部分和。

移位寄存器则具备移位作用,当加载信号有效时乘数将加载于8位右位寄存器,随着时钟上升沿的到来,乘数即由低位开始逐位移出。

乘法器功能类似一个特殊的与门。有两个输入端口,一个端口用于输入8位并行操作数(被乘数),另一个端口在时钟信号控制下输入由移位寄存逐步移出的串行操作数,并将这两个操作数进行与运算。

加法器用于将本次时钟脉冲控制下得到的8位部分积与锁存于锁存器高8位的前一个时钟脉冲下得到的部分和相加。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

原文标题:硬件乘法器

文章出处:【微信号:ALIFPGA,微信公众号:FPGA极客空间】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    请教下各位,LPC111X系列的ARM的IAP可字节擦除和编程操作吗?有硬件乘法器

    我在用ARM的时候挺困惑的,LPC111x有硬件乘法、除法器吗?我找了2遍datasheet,上说有乘法器。ARM一般都应该有硬件
    发表于 03-21 17:28

    硬件乘法器

    求浮点数乘除计算程序,求用硬件乘法器计算浮点数的程序
    发表于 11-03 22:32

    查表式硬件乘法器

    求各位帮助小弟,两个按键A和B,分别代表两个乘数的输入,数码管S1、S2、S3S4,分别代表AB和乘积M的十进制数。例如做乘法3*4的运算,需要按下A键三次,数码管S1显示“3”,按下B键四次,数码管S2显示“4”;S3S4显示乘积“12”。
    发表于 06-22 20:53

    AVR的硬件乘法器8X8的吗?

    AVR的硬件乘法器8X8的吗,数据手册上是这么写的。结果是16位的他这个乘法器应该是内核自带的吧,还是外设呢如果用CV编译,如何调用乘法器呢数据手册上只给出了汇编代码,如果是用c语言如
    发表于 07-22 08:00

    为什么DSP硬件乘法器和哈弗总线运算速度快?

    为什么DSP硬件乘法器和哈弗总线运算速度快?为什么MCU、DSP和FPGA会同时存在?
    发表于 10-22 06:48

    硬件乘法器的相关资料分享

    一,乘法器硬件乘法器是一个通过内部总线与 CPU 相连的 16 位外围模块。MSP430 单片机可以在部改变 CPU 结构和指令的情况下增加功能,这种结构特别适用于对运算速度要求很严格的情况。
    发表于 12-09 07:05

    硬件乘法器是怎么实现的?

    硬件乘法器是怎么实现的
    发表于 09-22 06:53

    华清远见FPGA代码-FPGA片上硬件乘法器的使用

    华清远见FPGA代码-FPGA片上硬件乘法器的使用
    发表于 10-27 18:07 10次下载

    MSP430F5系列16位超低功耗单片机模块原理10

    这章描述了32位硬件乘法器(MPY32)。而所有的MSP430x5xx型CPU中均有32位硬件乘法器
    发表于 04-23 16:46 11次下载
    MSP430F5系列16位超低功耗单片机模块原理10

    MSP430教程Chapt12-硬件乘法器

    MSP430硬件乘法器是一种外围设备,并不构成MSP430 CPU的一部分。它允许进行签名和无符号数的乘法运算。还支持乘法和累加(MAC)操作,这对于实现诸如有限脉冲响应(FIR)滤波
    发表于 05-07 09:38 8次下载
    MSP430教程Chapt12-<b class='flag-5'>硬件</b><b class='flag-5'>乘法器</b>

    MSP430F5438 32位硬件硬件乘法器的介绍和使用详细概述

    32 位硬件乘法器是一个并行器件,而不是 CPU 内核的一部分。这也就意味着:它在工作时不会涉及 CPU 的
    的头像 发表于 06-18 16:37 5040次阅读
    MSP430F5438 32位<b class='flag-5'>硬件</b><b class='flag-5'>硬件</b><b class='flag-5'>乘法器</b>的介绍和使用详细概述

    硬件乘法器原理_硬件乘法器电路结构

    硬件乘法器的实现本质是“移位相加”。对于二进制,乘数和被乘数的每一位非0即1,相当于乘数中的每一位分别和被乘数的每一个体位进行与运算,并产生其相应的乘积位。这些局部乘积左移一位与上次的和相加。即从
    发表于 02-18 16:34 9827次阅读
    <b class='flag-5'>硬件</b><b class='flag-5'>乘法器</b>原理_<b class='flag-5'>硬件</b><b class='flag-5'>乘法器</b>电路结构

    MSP430X1XX系列硬件乘法器,比较器,flash讲解

    一,乘法器 硬件乘法器是一个通过内部总线与 CPU 相连的 16 位外围模块。MSP430 单片机可以在部改变 CPU 结构和指令的情况下增加功能,这种结构特别适用于对运算速度要求很严格的情况。
    发表于 11-26 09:36 3次下载
    MSP430X1XX系列<b class='flag-5'>硬件</b><b class='flag-5'>乘法器</b>,比较器,flash讲解

    使用IAR IDE仿真RL78内置硬件乘法器和除法器注意事项

    使用IAR IDE仿真RL78内置硬件乘法器和除法器注意事项
    的头像 发表于 10-30 17:04 548次阅读
    使用IAR IDE仿真RL78内置<b class='flag-5'>硬件</b><b class='flag-5'>乘法器</b>和除<b class='flag-5'>法器</b>注意事项

    芯旺微KF8F3132开发板应用笔记之硬件乘法器

    芯旺微KF8F系列单片机KF8F3132开发板应用笔记之硬件乘法器
    发表于 10-19 16:21 0次下载