0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

几个FPGA时序优化简单技巧

DIri_ALIFPGA 来源:未知 作者:胡薇 2018-05-11 10:35 次阅读

我知道,我对与电子有关的所有事情都很着迷,但不论从哪个角度看,今天的现场可编程门阵列(FPGA),都显得“鹤立鸡群”,真是非常棒的器件。如果在这个智能时代,在这个领域,想拥有一技之长的你还没有关注FPGA,那么世界将抛弃你,时代将抛弃你。

尽量用硬核,比如硬件乘法器,这个应该都知道。

结构上的pipeline,简言之就是“拆",最极端的情形是拆到源和目的Reg间只有基本的组合逻辑门,比如说~a & b之类...;当然FPGA里实际不必这样,打个比方,两个xbit的数据做比较,若芯片内是4输入LUT,若有pipeline的必要,那么流水级最多用[log4(x)]+1就够了。

系统上的流水,也就是打拍,副作用是带来latency;这是最常见的方式之一,但有的情形下不允许。

异步, 划分不同时钟域;比如说系统主体可以工作在100M-,特定的子系统要求必须工作在300M+,那么可以将特定模块划分到不同的时钟域里;但异步时钟域不宜太多。

综合时使用retiming,duplication;physical synthesis优化,现在的综合器这方面已经足够聪明了。

预算允许可使用速度更快的芯片;这个也许是实现 “不修改RTL又时序收敛” 的最可能的方式。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1599

    文章

    21278

    浏览量

    592839
  • 时序优化
    +关注

    关注

    0

    文章

    4

    浏览量

    1428

原文标题:FPGA时序优化简单窍门

文章出处:【微信号:ALIFPGA,微信公众号:FPGA极客空间】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA几个基本的重要的时序分析参数介绍(fmax\tsu\th\tco\tpd)

    FPGA几个基本的重要的时序分析参数介绍(fmax\tsu\th\tco\tpd)今天无聊,翻开书偶看到介绍时序部分的东西,觉得其中几个
    发表于 04-09 09:41

    FPGA中的I_O时序优化设计

    FPGA中的I_O时序优化设计在数字系统的同步接口设计中, 可编程逻辑器件的输入输出往往需要和周围新片对接,此时IPO接口的时序问题显得尤为重要。介绍了几种
    发表于 08-12 11:57

    FPGA时序优化高级研修班

    FPGA时序优化高级研修班通知通过设立四大专题,帮助工程师更加深入理解FPGA时序,并掌握时序
    发表于 03-27 15:20

    大西瓜FPGA--FPGA设计高级篇--时序分析技巧

    。掌握分析和确定关键路径时序的方法,并通过分析找出关键路径的时序问题,再对关键路径进行优化,通过RTL层面的不断优化,不断修炼自己的设计能力,让设计出来的电路更为靠谱有效!本资料属大西
    发表于 02-26 09:42

    几个FPGA时序优化简单技巧

    特定模块划分到不同的时钟域里;但异步时钟域不宜太多。综合时使用retiming,duplication;physical synthesis优化,现在的综合器这方面已经足够聪明了。预算允许可使用速度更快的芯片;这个也许是实现 “不修改RTL又时序收敛” 的最可能的方式。
    发表于 06-07 17:55

    vivado:时序分析与约束优化

    转自:VIVADO时序分析练习时序分析在FPGA设计中是分析工程很重要的手段,时序分析的原理和相关的公式小编在这里不再介绍,这篇文章是小编在练习VIVADO软件
    发表于 08-22 11:45

    静态时序优化策略有哪些?

    变则通,通则久。事物都有其运行的规律,把握好规律,就能更好的实现人的目的。在数字后端设计中,时序优化一直是关键问题,尤其追求高频高性能的设计中,时许问题常常贯穿始终。大大小小二十几个项目模块后端工作
    发表于 12-10 07:37

    FPGA芯片_Gowin器件设计优化与分析手册

      FPGA 设计优化主要分为编码风格、设计规划和时序收敛三大部分,这 些因素直接决定了 FPGA 设计的成败。  编码风格直接影响 FPGA
    发表于 09-29 06:12

    如何有效的管理FPGA设计中的时序问题

    如何有效的管理FPGA设计中的时序问题 当FPGA设计面临到高级接口的设计问题时,EMA的TimingDesigner可以简化这些设计问题,并提供对几乎所有接口的预先精确控制。从简单
    发表于 04-15 14:19 667次阅读
    如何有效的管理<b class='flag-5'>FPGA</b>设计中的<b class='flag-5'>时序</b>问题

    FPGA设计:时序是关键

    当你的FPGA设计不能满足时序要求时,原因也许并不明显。解决方案不仅仅依赖于使用FPGA的实现工具来优化设计从而满足时序要求,也需要设计者具
    发表于 08-15 14:22 1177次阅读

    FPGA设计中,时序就是全部

    当你的FPGA设计不能满足时序要求时,原因也许并不明显。解决方案不仅仅依赖于使用FPGA的实现工具来优化设计从而满足时序要求,也需要设计者具
    发表于 02-09 01:59 272次阅读

    基于FPGA时序优化设计

    现有的工具和技术可帮助您有效地实现时序性能目标。当您的FPGA 设计无法满足时序性能目标时,其原因可能并不明显。解决方案不仅取决于FPGA 实现工具为满足
    发表于 11-18 04:32 3009次阅读

    时序分析的优化策略详细说明

    本文档的主要内容详细介绍的是FPGA时序分析的优化策略详细说明。
    发表于 01-14 16:03 17次下载
    <b class='flag-5'>时序</b>分析的<b class='flag-5'>优化</b>策略详细说明

    时序分析的优化策略详细说明

    本文档的主要内容详细介绍的是FPGA时序分析的优化策略详细说明。
    发表于 01-14 16:03 19次下载
    <b class='flag-5'>时序</b>分析的<b class='flag-5'>优化</b>策略详细说明

    FPGA静态时序分析简单解读

    任何学FPGA的人都跑不掉的一个问题就是进行静态时序分析。静态时序分析的公式,老实说很晦涩,而且总能看到不同的版本,内容又不那么一致,为了彻底解决这个问题,我研究了一天,终于找到了一种很简单
    的头像 发表于 05-29 10:24 365次阅读
    <b class='flag-5'>FPGA</b>静态<b class='flag-5'>时序</b>分析<b class='flag-5'>简单</b>解读