0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

SoC的发展也至少遇到了四大难以逾越的挑战

电子工程师 来源:未知 作者:李倩 2018-04-28 16:35 次阅读

SoC(System-on-a-chip)芯片级系统,也叫片上系统,根据维基百科的定义:是一个集成电路(也被称为“IC”或“芯片”),集成了的所有组件的计算机或其它电子系统。它可能包含数字,模拟,混合信号和通常的射频功能 - 全部在单个基板上。由于其低功耗,SoC在移动计算市场中非常普遍。

而在ITRS2013版本中,对soc的定义是:将极其复杂的系统集成在一个芯片上或单一封装内,且价格低廉诱人。

在这里首先要科普一个概念:ITRS (International Technology Roadmap for Semiconductors)国际半导体技术发展路线图是由欧洲、日本、韩国、***、美国五个主要的芯片制造地区发起的,目的是确保集成电路(IC)和使用IC的产品在成本效益基础上的性能改进,从而持续半导体产业的健康和成功。

ITRS自1999年第1版问世后,每偶数年份更新,每单数年份进行全面修订。ITRS的目标是提供被工业界广泛认同的对未来1 5年内研发需求的最佳预测,对公司、研发团体和政府都有指导作用。路线图对提高各个层次上研发投资的决策质量都有重要意义。

从狭义角度讲,SoC是信息系统核心的芯片集成,是将系统关键部件集成在一块芯片上;从广义角度讲, SoC是一个微小型系统,如果说中央处理器(CPU)是大脑,那么SoC就是包括大脑、心脏、眼睛和手的系统。

国内外学术界一般倾向将SoC定义为将微处理器、模拟IP核、数字IP核和存储器(或片外存储控制接口)集成在单一芯片上,它通常是客户定制的,或是面向特定用途的标准产品。

SOC有三个鲜明的特征:

1、实现复杂系统功能的VLSI(超大规模集成电路,晶体管数在100000以上); 采用超深亚微米工艺技术;

2、使用一个以上嵌入式CPU/数字信号处理器(DSP);

3、外部可以对芯片进行编程

SoC ( System - on - Chip)设计技术始于20世纪90年代中期,随着半导体工艺技术的发展, IC设计者能够将愈来愈复杂的功能集成到单硅片上, SoC正是在集成电路( IC)向集成系统( IS)转变的大方向下产生的。一般来说,有三种可区分的SoC类型。围绕微控制器MCU)构建的SoC,围绕微处理(MPU)器构建的SoC(这种类型可以在手机中找到)以及专为特定应用设计的专用SoC。

基于MCU的嵌入式系统是一种低端嵌入式系统,这种系统共同的特点是系统运行速度低、数据处理能力弱和存储空间有限(K级),因此只适合于低端的电子产品;基于DSP的嵌入式系统是中低端嵌入式系统,这种系统共同特点是系统运行速度较高、数据处理能力强,但是存储空间也是有限的(K级、M级)。

基于MPU的嵌入式系统通常可以分为两种类型:基于CISC架构微处理器的嵌入式系统和基于 RISC架构微处理器的嵌入式系统。

其中,CISC架构微处理器通常是由x86体系结构进行嵌入应用扩展而获得一种类型的嵌入式处理器;RISC架构嵌入式微处理器可以分为三大体系结构:ARM体系结构、PowerPC体系结构和MIPS体系结构,基于这三大体系结构的嵌入式处理器品种繁多,功能也各异。

但基于此类处理器的嵌入式系统共同特点是运行速度高、数据处理能力强、存储空间足够大(G级),因此是一种高端的嵌入式系统。

典型的SOC包括MCU、MPU、DSP、内存、模拟接口ADCDAC,外设以及外设接口等。

SOC的技术实现

SOC是从设计的角度出发,是将系统所需的组件高度集成到一块芯片上。将原本不同功能的 IC,整合在一颗芯片中。藉由这个方法,不单可以缩小体积,还可以缩小不同 IC 间的距离,提升芯片的计算速度。

SoC有两个显著的特点:一是硬件规模庞大,通常基于IP设计模式;二是软件比重大,需要进行软硬件协同设计。城市相比农村的优势很明显:配套齐全、交通便利、效率高。

SOC形成或产生过程包含以下三个方面:

1) 基于单片集成系统的软硬件协同设计和验证;

2) 再利用逻辑面积技术使用和产能占有比例有效提高即开发和研究IP核生成及复用技术,特别是大容量的存储模块嵌入的重复应用等;

3) 超深亚微米(UDSM) 、纳米集成电路的设计理论和技术。

SoC设计的关键技术主要包括总线架构技术、IP核可复用技术、软硬件协同设计技术、SoC验证技术、可测性设计技术、低功耗设计技术、超深亚微米电路实现技术等,此外还要做嵌入式软件移植、开发研究,是一门跨学科的新兴研究领域。

在使用SoC技术设计的应用电子系统中,可以十分方便地实现嵌入式结构。各种嵌入结构的实现十分简单,只要根据系统需要选择相应的内核,再根据设计要求选择之相配合的IP模块,就可以完成整个系统硬件结构。这也是为什么soc的设计开发周期短。

在SoC设计中,仿真与验证是SoC设计流程中最复杂、最耗时的环节,约占整个芯片开发周期的50%~80% ,采用先进的设计与仿真验证方法成为SoC设计成功的关键。

芯片在流片之前,为验证设计是否成功、合理,需要用到仿真,用计算机去模拟电路的运行情况。仿真贯穿芯片设计的始末,有前端仿真、后端仿真、模拟仿真、数字仿真…仿真脱离不了计算机仿真软件,比如Sysnopys、Cadence,它们是芯片设计、验证软件领域的巨擘。使用仿真软件仿真,需要付费,华为海思每年付的费用在千万级别。

SoC的发展也至少遇到了以下四大难以逾越的挑战:

第一.IP的种类和复杂度越来越大以及通用接口的缺乏均使得IP的集成变得越来越困难;

第二.当今的高集成度SoC设计要求采用更先进的90nm以下工艺技术,而它将使得功率收敛和时序收敛的问题变得更加突出,这将不可避免地导致更长的设计验证时间;

第三.很难在SoC上实现模拟、混合信号和数字电路的集成;

第四.先进SoC开发的NRE成本动辄数千万美元,而且开发周期很长。

Soc之必须品——IP核

SoC按指令集来划分,主要分x86系列(如SiS550) 、ARM 系列(如OMAP) 、MIPS系列(如Au1500 ) 和类指令系列(如M 3Core)等几类,每一类都各有千秋。

国内研制开发者主要基于后两者,如中科院计算所中科SoC (基于龙芯核,兼容M IPSⅢ指令集) 、北大众志(定义少许特殊指令) 、方舟2号(自定义指令集) 、国芯C3 Core (继承M3 Core)等。开发拥有自主知识产权的处理器核、核心IP和总线架构,同时又保证兼容性(集成第三方IP) 。

SOC可以用较短时间被设计出来,这是SoC的主要价值所在——缩短产品的上市周期,目前基于IP核的SOC设计已经成为业内趋势。IP核是具有复杂系统功能的能够独立出售的VISI块,而Soc也可以说成是由可设计重用的IP核组成。

传统应用电子设计工程师面对的是各种定制式集成电路,而使用SoC技术的电子系统设计工程师所面对的是一个巨大的IP库,所有设计工作都是以IP模块为基础。SoC技术使应用电子系统设计工程师变成了一个面向应用的电子器件设计工程师。由此可见,SoC是以IP模块为基础的设计技术,IP是SoC应用的基础。

IP核(Intellectual Property core知识产权核)是一段具有特定电路功能的硬件描述语言程序,该程序与集成电路工艺无关,可以移植到不同的半导体工艺中去生产集成电路芯片。是具有复杂系统功能的可独立出售的VLSI块。IP核一般分为三种:软核、固核和硬核。

软核是用VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能。软IP所需的开发硬软件环境比较昂贵,设计周期短,设计投入少。

其主要缺点是在一定程度上使后续工序无法适应整体设计,从而需要一定程度的软IP修正,在性能上也不可能获得全面的优化。由于软核是以源代码的形式提供,尽管源代码可以采用加密方法,但其知识产权保护问题不容忽视。

硬核提供设计阶段最终阶段产品:掩模(多数处理器、存储器核的提供形式)。以经过完全的布局布线的网表形式提供,这种硬核既具有可预见性,同时还可以针对特定工艺或购买商进行功耗和尺寸上的优化,更易于实现IP保护。

硬核是经过流片验证过的版图形式的设计 , 在集成到芯片中的时候已有具体的物理形态和尺寸 ,与特定 Foundry 厂的工艺相关 。

在实际的商用芯片设计中, IP 硬核的主要来源是国外的 IP 专职供应商 、设计服务公司和 Foundry (晶圆代工,最早由台积电张忠谋开创,到2016年全球6成代工芯片市场尤其包揽,高通、苹果、华为都把自己的芯片交给台积电代工)厂等。

固核则是软核和硬核的折衷(与工艺有关),大多数应用于FPGA的IP内核均为软核,软核有助于用户调节参数并增强可复用性。

IP的出现,让以SOC模式开发的芯片周期缩短,同时门槛也降低。因此很多新旧芯片厂商都通过购买IP,自行设计或者外包设计,来快速完成一些定制化或专用性的芯片开发。藉此也诞生了IP核的生意,不只是超大规模的厂商(IDM),一些具有成熟设计、研发、制造经验的小公司,也开始强市这份市场。

IP的商业模式在国外非常成熟,相应厂商的获利方式主要有三种:

一是通过支付授权费(Licence fee)方式 ,这类交易是通过一次性支付一笔 IP 单次或多次授权使用费 ,从而获得在一种或多种设计中应用 IP 的权利 ;

二是通过专利费(Royalty )方式 , 这类交易是通过先支付一笔“不反复出现的工程费用”(NRE),再在集成了 IP 的芯片流片量产时 ,按每片交付一定的专利费(Royalty)而获得 IP 的使用权 ;

三是通过收取服务/维护费用 。用户在获得某种 IP 后 ,可能在一年内需要针对特定设计对 IP 工艺参数做某种修改 , 可以预先购买相关的服务/维护来实现 。

IP核五大来源

掌握IP核的技术,基本也就站在了芯片行业的顶端。一般拥有IP核能力的,都是经验丰富,技术成熟的公司,这当中以IDM(IntegratedDeviceManufacturer)整合元件制造商最甚,其次是Fabless、Foundry、专职IP公司、EDA厂商、芯片设计服务公司等。

IDM指从设计,制造,封装测试到销售自有品牌IC都一手包办的半导体垂直整合型公司,Intel、TI、Motorola、Samsung、NEC、Toshiba、茂矽、华邦、旺宏等就是知名的IDM。

根据IC insights2015年的报告,全球十大IDM分别是Inter(美)、Samsung(韩)、SK Hynix(韩)、Micron(美)、TI(美)、NXP/Freescale(欧)、Toshiba(日)、Infineon/IR(欧)、ST(欧)、Sony(日)。

Fabless是只负责设计,没有工厂,根据2017年IC insights报告,全球营收前十的Fabless的厂商有Qualcomm(美)、Broadcom Ltd(新)、NVIDIA(美)、MediaTek(中)、Apple(美)、AMD(美)、HiSilicon(中)、Xilinx(美)、Marvell(美)、Unigroup(中)。

IDM或Fabless 设计公司各有所长,如 Intel 的处理器技术 、TI 的 DSP 技术 、M otorola 的嵌入式 MCU 技术 、Trident 的 Graphics 技术等 。这些技术不仅可以成功的开发系列芯片,同时也可以形成IP,这些IP往往是硬核,为其它Fabless使用。

另,Foundry公司也会在后端设计中积累经验,拥有少量的IP(主要是 M emory 、EEPROM 和 Flash Memory 等),这些 IP 可以被需要集成或愿意在该 Foundry 流片的公司采用 。

还有一种就是专职的IP公司,他们在90年代看到SoC发展的前景,遂结合自身多年来研发的经验,开发成熟的IP,包括满足市场需求的IP,涵盖软硬固核。

ARM 、Motorola、MIPS是提供嵌入式 MCUIP 核的主要专业公司;LEDA 是模拟 、混合信号 IP硬核的最主要供应商 , 它同时还针对当前通信市场的需求开发并提供宽带应用 、蓝牙和光通信(SONET/SDH)的 IP 核 。

上述这些公司都是当今芯片设计行业中专业IP 供应商的代表 。这些专业 IP 供应商的业务重点是开发 IP 核 , 对于进入自身所不熟悉的地区 ,则往往通过与当地的芯片设计服务公司结成合作伙伴或战略联盟来实现 。

在美国 , EDA 厂家也是提供 IP 资源的一个主要渠道 , 占到 IP 交易量的 10 %左右 。主要的EDA 厂商为了提供更适合 SoC 设计的平台 ,在其工具中集成了各类IP 核以方便用户的 IP 嵌入设计,这些核多以软核形式出现。

EDA 厂商也并不直接设计开发 IP 核 ,而是与一些提供 IP 软核的设计公司合作 , 提供一种集成 IP 核的设计环境 。由于集成的 IP 核多为软核 ,用户还要对这些软核做综合 、时序分析 、验证等工作 ,对用户的“及时上市”要求没有本质性改善 , 在 IP 核的支持 、服务方面也存在诸多不便 。因此 ,在国内的 EDA 厂家目前仍以经营 EDA 工具为主 ,从人员配备上讲 ,几乎没有提供 IP 资源的服务力量 。

芯片设计服务公司是目前能立即向国内 IC 设计公司提供 IP 硬核的最主要途径 ,除了自身积累的 IP 外 ,通过与 IP 专业供应商的战略合作关系向国内用户提供各类 IP 。芯片设计服务公司是与用户直接打交道的 ,它们了解市场需求的 IP 类型 ,其 IP 资源库中积累的往往是最实用的 IP。

目前 , 国内还没有像国外那种专门设计 IP 硬核的公司 , 芯片设计公司的成功设计还不能被称为 IP 。但国内已经有专门提供软核的公司 ,以 RTL 形式提供给用户 。

业内最具有话语权的,当属IDM,他们拥有全链条的芯片能力,次之则是一些Fabless,拥有设计的能力。

中国IC设计企业一般向IP核供应商、Foundry、EDA公司,以及设计服务企业来购买。67%的企业采购IP核的数量在5个以下,少数企业的IP核采购量为5~10个,未采购IP核的企业约为17%,无一家受访企业的IP核购买数量在10个以上。

国内IC设计公司购买IP核的支出相当高。在有效样本中,近半数企业采购IP核的支出占项目总预算的比例在20%以下。值得注意的是,38.7%的企业的IP核采购支出占预算的比例在20%-40%。

国内IC设计企业,为了降低成本以及对外的依赖,也在积极的开发自主的IP核,但进展并不乐观。

目前,中国IC设计公司的数量全球第一,但大多数规模偏小,造成有限的人才资源严重分散,影响产品开发进度。大部分人才都在北上深,这些地方生活成本高企,员工薪资也成了公司的承重负担。

调查显示,近两年中国IC设计企业的平均项目设计周期在不断缩短,约40%的企业的设计周期不到9个月,一些非常复杂的芯片的设计周期仍然在1年以上。

人才缺乏,项目周期短,艰难苟活的公司为了生存,只能通过压缩研发支出,如此造成了国内IC行业粗制滥造现象普遍。长远来看,对企业的发展极为不利,但别无他法。

因此,国内大部分芯片厂商,目前还都是采购了国际知名厂商的一些IP核,对外的依赖程度较高。如果单从公司经营角度而言,这并没有太大问题,因为全世界拥有这些技术的公司,也都是寡头,绝大部分芯片公司都是通过采购成熟IP核的方式。

但如果上升到整个国家的行业发展,以及贸易壁垒,那么采购IP核的方式并不是长久之计。业内人士表示,国内要发展自主IP核,还有很长的路,工艺制造,产线生产可以通过砸钱短时间内弥补,但实际的技术发展则需要经年累月的积累,非一时之功。

自动驾驶&SOC

SoC技术的一大关键优势是它可以降低系统板上因信号在多个芯片之间进出带来的延迟而导致的性能局限,它也提高了系统的可靠性和降低了总的系统成本。

PCB板空间特别紧张和将低功耗视为第一设计目标的应用中,如手机,SoC常常是唯一的高性价比解决方案。

除了手机,另外一个即将崛起的领域也不容忽视——自动驾驶。

自动驾驶目前需要的传感器有摄像头、毫米波雷达、LIDAR等等,而只是摄像头,就至少在4个以上,由于要处理多路摄像头数据,同时实时性要求高,因此对端侧的处理能力要求苛刻;

汽车的使用环境,不亚于手机,低功耗要求只高不低。另,自动驾驶的软件算法中,深度学习神经网络机器视觉等都需要大量的运算。

人工智能神经网络的运算主要是卷积运算——就是线性代数的一些矩阵运算,这些矩阵运算还会涉及到一些浮点数,需要海量的运算性能。

相比CPU是呈指数级的增长的,在并行运算能力方面,CPU是不如GPU的,FPGA也不合适。FPGA适合要求实时性,要求并行运算方面,CPU适合做控制,GPU才适合做运算,所以目前人工智能的研究大多数都是用GPU加速运算的。

汽车自动驾驶使用的芯片最好能融合多项能力,SOC将会大放光彩。

2016英特尔与宝马、Mobileye 公司达成协议,计划在 2021 年前推出全自动驾驶汽车。英特尔副总裁暨总经理Ken Caviasca曾表示,英特尔芯片的目标是成为无人车的大脑。

自动驾驶汽车需要处理大量的图像数据,基于此,英特尔将会设计一款全新的SoC来完成数据处理。他补充:“该SoC将集成Xeon处理器核和专用的硬件加速器,同时,也会达到汽车电子的安全标准。

Xilinx汽车级 (XA) Zynq® -7000 系列是基于赛灵思全编程的系统级芯片(All Programmable SoC)架构,非常适合高级驾驶员辅助系统 (ADAS) 的高计算要求。

软硬件的组合可编程性可帮助开发团队在单个器件中整合完整的 ADAS 成像流程,从通过环境特征进行传感到特性实现无不例外。此外,还可在软硬件之间进行功能移动,从而可消除数据流瓶颈,最大限度提高性能并最小化资源。

XA Zynq®-7000 可编程 SoC 器件具有内在的架构灵活性,所提供的低成本解决方案可用于构建高度可扩展的平台,从而可帮助系统设计人员显著缩短开发时间。

2018年1月4日,大陆集团宣布基于赛灵思All Programmable技术的辅助和自动驾驶控制单元,大陆集团先进驾驶辅助系统业务部负责人Karl Haupt表示:“赛灵思All Programmable技术被选中,因为它提供了灵活性和可扩展性,可以满足全自动驾驶汽车的不断变化和新需求。

Renesas Autonomy平台发布的第一个产品,是一块图像识别片上系统(SoC),叫作R-Car V3M。瑞萨将该高性能视觉处理芯片描述为“优化处理单元,首选应用于智能相机传感器,也可以用于环绕视觉系统甚至激光雷达的数据处理。

Fujitsu推出了包括基于MB86R11“Emerald-L”2D/3D图像SoC的全景视频系统支持前后左右四个摄像头进行汽车周边环境的实时全景视频监测。

TI推出的Jacintinto6 SoC处理器功能异常强大,这款芯片包括了双ARMCortex-A15内核、两个ARM M4内核、两个C66x浮点DSP、多个3D/2D图形处理器GPU(Imagination),并且还内置了两个EVE加速器。可轻松应对娱乐影音、车载摄像头的ADAS处理,物体和行人检测、增强的现实导航和驾驶员身份识别等多种功能。

一台汽车内一般有50个以上的ECU,而ECU里面又有多重PCB与多重MCU、电源、类比等半装置。

Arteris(硅谷初创公司,专门做NoC(Network-on-Chip),华为、ZTE、小米、瑞芯微、新岸线、紫光RDA都是其客户,以及Mobileye、NXP、Texas Instruments、Renesas)认为ECU可多达145 个,而多半是MCU。每个主要的子系统都拥有1个SoC,而多数功能由MCU执行。

目前改变趋势在于,汽车现在由更大的、专门的子系统组成,而即时更新的最好方式就是通过SoC。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • soc
    soc
    +关注

    关注

    38

    文章

    3742

    浏览量

    215650
  • 自动驾驶
    +关注

    关注

    773

    文章

    13023

    浏览量

    163197

原文标题:SoC的前世今生,自动驾驶的主力配置 | GGAI深度

文章出处:【微信号:ilove-ev,微信公众号:高工智能汽车】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    传感器要发展 需先满足的四大需求

    物联网不断发展,带动了传感器市场的扩大。随着用户的增多,对传感器的使用要求逐步提高。在未来,传感器遍布全球要先满足四大需求。由于物联网及其他连接设备应用的不断发展,分析师预测数万亿个
    发表于 06-06 11:29

    四大led显示屏技术问题

    和生产水平所限,同一色区同一批次led灯珠中仍然存在差异,而且这种差异很难逃脱肉眼的检阅,从而难以保证led显示屏的色彩还原性和逼真性。 led企业要想成为行业中的领先者,必须先攻破以上这四大难题,才能
    发表于 05-16 10:29

    在机器学习的应用上,软件工程师和FPGA真的有着难以逾越的鸿沟吗?

    的硬件平台日趋成熟。目前,这些硬件平台包括ASIC,CPU,GPU以及FPGA。在Plunify,尽管我们的强项是FPGA的设计优化,但是我们中的很多人本质上还是软件工程师。当然,这里所说的“软件工程
    发表于 12-11 15:54

    四大看点,四大挑战】2018汽车安全质量高峰论坛

    安全、环保、节能等为主题展开深度研讨,共同推动汽车行业的安全有序发展四大看点1、对新能源汽车产业的政策发展,***怎么看?2、汽车智能技术发展推动行业转型升级,传统车企怎么看?3、对
    发表于 04-09 18:33

    消费电子助力SoC发展,多核技术是焦点

    多核技术正成为PC产业谈论的焦点。事实上,为了应对成本、上市时间和复杂多变技术带来的挑战,现实中的嵌入式 SoC设计已经大量采用了多核架构,远远地走在了前面,例如IBM为索尼PS3游戏机定制
    发表于 06-21 06:19

    可编程模拟IC助力FPGA多功能性

    对于工程师而言,设计、评估和调试带有模拟输入/输出(I/O)接口的混合信号电路始终面临巨大挑战。真实世界与模拟信号链路的微妙之处以及恶劣的工作环境,往往使得看起来简单直接的设计目标成为难以逾越、耗时
    发表于 06-24 06:53

    SoC测试技术面临的挑战是什么?其发展趋势如何?

    SoC测试技术传统的测试方法和流程面临的挑战是什么?SoC测试技术一体化测试流程是怎样的?基于光子探测的SoC测试技术是什么?有什么目的?
    发表于 04-15 06:16

    嵌入式系统设计的新发展及其挑战是什么

    嵌入式系统设计技术发展的特点是什么采用定制SoC有什么缺点?嵌入式系统设计的新挑战是什么
    发表于 04-27 07:02

    智能家居音频设计的四大挑战及简化设计的过程分享

    的时间表变得复杂纷乱。在本篇博文中,我将探讨与智能家居音频设计相关的四大挑战和如何简化设计过程的方法。1.难以定义项目要求。您要从事的项目听上去很简单:让这件设备讲话。但伴随音频输出的是许多设计选择
    发表于 11-10 06:01

    普通全面屏手机发展仍存在四大难

    “时代潮流浩浩荡荡乎,顺之者昌,逆之者亡”,全面屏乃是智能手机发展的大势所趋,它以极高的屏占比给用户带来更加震撼的视觉体验,也能有效控制手机尺寸增长。普通全面屏手机虽是手机发展的必然趋势,但还处于概念的普及阶段,所以普通全面屏手机发展
    发表于 10-15 17:37 1234次阅读

    智能电视发展到了新的高度 又遇到了新的发展瓶颈

    “智能电视发展到了新的高度,又遇到了发展瓶颈,突破方向有两个,一是产品体验突破,二是新场景突破,我们要学会新的业务思考方式,将家庭多场景、互联网服务、智能硬件互联,迎接新挑战。”新视家
    发表于 01-29 15:22 722次阅读

    FPGA难以逾越的瓶颈究竟该如何突破

    如今,电子行业发展速度令人瞠舌,5G、AI、工业4.0、智能汽车一波又一波的应用革新引领了“新浪潮”,但随之带来的就是巨量的计算、分析、处理量。
    发表于 06-10 14:44 1078次阅读

    人工智能蓬勃发展还缺了点什么

    人工智能已经达到、或者超越了人类的专业知识水平,但这仅限特定领域,AI 在通用智能方面仍存在着难以逾越的鸿沟。
    发表于 12-16 15:45 485次阅读

    芯片自研的另一堵墙:为什么高通难以逾越

    今年5月,oppo旗下的芯片设计公司哲库突然宣布关闭后,oppo前营销副总沈义人在隔天凌晨发了条微博:钱不能解决的问题,往往才是真正的“难题”。
    的头像 发表于 06-16 09:42 727次阅读
    芯片自研的另一堵墙:为什么高通<b class='flag-5'>难以逾越</b>?

    高速智驾何以成为难以逾越的鸿沟?

    如果自动驾驶落地充满荆棘,那高速智驾就是这荆棘之路中一条难以逾越的鸿沟。科技的进步带动了行业的变革,而自动驾驶落地则是汽车行业变革中从未改变的目标。随着汽车电动化进程不断加快,蔚小理等造车新势力品牌
    的头像 发表于 09-04 08:42 419次阅读
    高速智驾何以成为<b class='flag-5'>难以逾越</b>的鸿沟?