0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

设计一个1位的二选一多路选择器及其VHDL描述

姚小熊27 来源:网络整理 2018-04-27 09:52 次阅读

二选一多路选择器真值表

二选一多路选择器逻辑表达式为:设计一个1位的二选一多路选择器及其VHDL描述

根据逻辑表达式所列真值表如下图所示

设计一个1位的二选一多路选择器及其VHDL描述

1位二选一多路选择器及其VHDL描述

实验步骤:

1、新建一个文件夹,打开Quartus2软件,选择FLE-》NEW菜单,在弹出的NEW对话框中选择DEVICE DESGIN FILE 页的原理图文件编辑器输入项VHDL FILE,按确定键打开VHDL 编辑器窗口;

2、在VHDL 编辑器窗口输入2选1多路选择器的VHDL 描述;

ENTITYmux21aIS

PORT(ab:IN BIT:

s:INBIT:

y :OUT BIT):END ENITY mux2 1a:

ARCHITECTURE one OF mux21a IS

BEG IN

y《=aWHEN s=‘0“ELSE b:ENDARCHITECTURE one;或者:

ENTITYmux21a IS

PORT(ab:IN BIT:

s:INBIT:

y:OUT BIT);END ENTITYmux2 1a;

ARCHITECTURE one OF mux2 1a IS

BEG IN

y《=a WHEN s=”O“ELSE b;ENDARCHITECTURE one;

3、打开波形编辑器,分别运行2 选1多路选择器,观察他们的输出波形:

设计一个1位的二选一多路选择器及其VHDL描述

4、调出VHDL 描述产生的2 选1多路选择器的原理图。点击TOOLS-》NELIST

VIEWERS-》RTLVIEWERS,即调出VHDL描述产生的2 选1多路选择器的RIL 电路图,如下所示

设计一个1位的二选一多路选择器及其VHDL描述

实验小结:

经过本次试验初步了解了Quartus2 软件的使用,及VHDL 表达和设计电路的方法。

1位的二选一多路选择器的逻辑表达式实现

设计来源

`timescale 1ns / 1ps

//////////////////////////////////////////////////////////////////////////////////

// Company:

// Engineer:

//

// Create Date: 2018/03/17 08:26:28

// Design Name:

// Module Name: disTwo2OneSelector

// Project Name:

// Target Devices:

// Tool Versions:

// Description:

//

// Dependencies:

//

// Revision:

// Revision 0.01 - File Created

// Additional Comments:

//

//////////////////////////////////////////////////////////////////////////////////

module disTwo2OneSelector(

input sl,

input a,

input b,

output out

);

reg out;

always @(sl or a or b)

begin

// “? :”表达式的返回值必须赋给一个变量。

out=sl?b:a;

end

endmodule

模拟

`timescale 1ns / 1ps

//////////////////////////////////////////////////////////////////////////////////

// Company:

// Engineer:

//

// Create Date: 2018/03/17 08:45:19

// Design Name:

// Module Name: simTwo2OneSelector

// Project Name:

// Target Devices:

// Tool Versions:

// Description:

//

// Dependencies:

//

// Revision:

// Revision 0.01 - File Created

// Additional Comments:

//

//////////////////////////////////////////////////////////////////////////////////

module simTwo2OneSelector(

);

reg simA,simB,simSl;

wire simOut;

initial

begin

simSl=0;

simA=0;

simB=0;

// 在激励程序运行的瞬间,“simSl=0;simA=0;simB=0;”语句已经执行完了。

// 在0-10个时间单位,激励程序的状态保持不变。

#10

simSl=0;

simA=1;

simB=0;

#10

simSl=1;

simA=0;

simB=0;

#10

simSl=1;

simA=0;

simB=1;

end

// 设计文件模块名 对象名 (。设计文件模块中的类中的参数(激励文件模块中的类中的参数))

disTwo2OneSelector objTwo2OneSelector(.a(simA),.b(simB),.sl(simSl),.out(simOut));

endmodule

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • vhdl
    +关注

    关注

    30

    文章

    814

    浏览量

    127666
  • 多路选择器
    +关注

    关注

    1

    文章

    22

    浏览量

    6430
收藏 人收藏

    评论

    相关推荐

    数据选择器

    ,往往要求将并行输出的数据转换成串行输出,用数据选择器很容易完成这种转换。例如将四的并行数据送到四数据选择器的数据端上,然后在A
    发表于 05-30 17:38

    至芯科技之altera 系列FPGA教程 第九篇 一多路选择器的设计

    至芯科技之altera 系列FPGA教程 第九篇 一多路选择器的设计
    发表于 08-11 03:25

    至芯科技之altera 系列FPGA教程 第十篇 一多路选择器激励的设计

    至芯科技之altera 系列FPGA教程 第十篇 一多路选择器激励的设计
    发表于 08-11 03:27

    种八数据选择器贴片式芯片

    请问有没有种八数据选择器(或者 多路复用器多路分离
    发表于 12-01 17:39

    请问有stm32控制41多路选择器的程序吗

    求大神提供stm32控制41多路选择器的程序参考,单片机9,10引脚控制多路选择器
    发表于 01-16 06:35

    【梦翼师兄今日分享】 数据选择器的设计

    :mlajsw96)写在前面的话数据选择器在数字电路设计中的应用尤为广泛。同时,作为基础的电路功能单元,也比较适合作为初学者的入门实验。现在梦翼师兄陪大家起来设计最基础的数据
    发表于 12-13 16:43

    EDA四一多路选择器的设计

    不同,数据输入也不同。41数据选择器的设计1、设计背景和设计方案设计背景:该设计是以数字电子技术为基础,实现数据从四数据中按照输入的信号
    发表于 04-12 09:17

    41多路选择器是什么?

    Verilog数字系统设计三简单组合逻辑实验2文章目录Verilog数字系统设计三前言、41多路选择器是什么?
    发表于 02-09 06:00

    使用case语句设计实现自定义数据宽的41数据选择器

    1、数据选择器的基础实验设计与实现数据选择器又称多路转换或称多路开关,其功能是根据地址码的不同
    发表于 07-04 16:09

    组合逻辑基础之多路复用器设计

    1、组合逻辑基础之多路复用器设计多路复用器也叫数据选择器,如下图所示,是根据选择信号Sel的值从多个数据输入中
    发表于 08-04 17:06

    基于FPGA的多路选择器设计(附代码)

    设计源码,读者可以自行讨论设计。 第种方法,根据verilog的设计规则,可以直接描述逻辑功能,而不用描述门电路。这种设计规则有利于将设计做的比较大。 宽为8的四
    发表于 03-01 17:10

    八选一多路选择器Verilog代码及仿真结果MUX_8

    八选一多路选择器 Verilog代码 附仿真结果(modelsim仿真)
    发表于 03-28 15:27 32次下载

    多路选择器有哪些_多路选择器分类介绍

    本文开始介绍了多路选择器的分类与多路选择器的4选1原理图,其次介绍了多路选择器的典型芯片,最后介
    的头像 发表于 04-27 09:13 3.2w次阅读
    <b class='flag-5'>多路</b><b class='flag-5'>选择器</b>有哪些_<b class='flag-5'>多路</b><b class='flag-5'>选择器</b>分类介绍

    eda四选一多路选择器的设计

    本文开始对多路选择器进行了详细介绍,其中包括了多路选择器功能、典型芯片及应用,另外还详细介绍了eda四选一多路
    发表于 04-27 10:13 3w次阅读
    eda四选<b class='flag-5'>一多路</b><b class='flag-5'>选择器</b>的设计

    EDA四选一多路选择器的设计资料下载

    电子发烧友网为你提供EDA四选一多路选择器的设计资料下载的电子资料下载,更有其他相关的电路图、源代码、课件教程、中文资料、英文资料、参考设计、用户指南、解决方案等资料,希望可以帮助到广大的电子工程师们。
    发表于 04-20 08:49 14次下载
    EDA四选<b class='flag-5'>一多路</b><b class='flag-5'>选择器</b>的设计资料下载