0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

半导体是电子产品的核心,信息产业的基石

kus1_iawbs2016 来源:未知 作者:李倩 2018-04-20 15:12 次阅读

周期性波动向上,市场规模超4000亿美元

半导体电子产品的核心,信息产业的基石。半导体行业因具有下游应用广泛、生产技术工序多、产品种类多、技术更新换代快、投资高风险大等特点,产业链从集成化到垂直化分工越来越明确,并经历了两次空间上的产业转移。全球半导体行业大致以4-6年为一个周期,景气周期与宏观经济、下游应用需求以及自身产能库存等因素密切相关。2017半导体产业市场规模突破4000亿美元,存储芯片是主要动力。

供需变化涨价蔓延,创新应用驱动景气周期持续

半导体本轮涨价的根本原因为供需变化,并沿产业链传导,涨价是否持续还是看供需,NAND随着产能释放价格有所降低,DRAM、硅片产能仍吃紧涨价有望持续。展望未来,随着物联网区块链汽车电子5G、AR/VRAI等多项创新应用发展,半导体行业有望保持高景气度。

提高自给率迫在眉睫,大国战略推动产业发展

国内半导体市场接近全球的三分之一,但国内半导体自给率水平非常低,特别是核心芯片极度缺乏,国产占有率都几乎为零。芯片关乎到国家安全,国产化迫在眉睫。2014年《国家集成电路产业发展推进纲要》将半导体产业新技术研发提升至国家战略高度。大基金首期投资成果显著,撬动了地方产业基金达5000亿元,目前大基金二期募资已经启动,募集金额将超过一期,推动国内半导体产业发展。

大陆设计制造封测崛起,材料设备重点突破

经过多年的发展,国内半导体生态逐渐建成,设计制造封测三业发展日趋均衡。设计业:虽然收购受限,但自主发展迅速,群雄并起,海思展讯进入全球前十。制造业:晶圆制造产业向大陆转移,大陆12寸晶圆厂产能爆发。代工方面,虽然与国际巨头相比,追赶仍需较长时间,但中芯国际28nm制程已突破,14nm加快研发中;存储方面,长江存储、晋华集成、合肥长鑫三大存储项目稳步推进。封测业:国内封测三强进入第一梯队,抢先布局先进封装。设备:国产半导体设备销售快速稳步增长,多种产品实现从无到有的突破,星星之火等待燎原。材料:国内厂商在小尺寸硅片、光刻胶、CMP材料、溅射靶材等领域已初有成效;大尺寸硅片国产化指日可待。

1、周期性波动向上,市场规模超4000亿美元

1.1、半导体是电子产品的核心,信息产业的基石

晶体管诞生,再到集成电路

计算机的基础是1和0,有了1和0,就像数学有了10个数字,语言有了26个字母,人类基因有了AGCT,通过编码和逻辑运算等便可以表示世间万物。1946年的第一台计算机是通过真空管实现了1和0,共使用了18800个真空管,大约是一间半的教室大,六只大象重。

通过在半导体材料里掺入不同元素,1947年在美国贝尔实验室制造出全球第一个晶体管。晶体管同样可以实现真空管的功能,且体积比电子管缩小了许多,用电子管做的有几间屋子大的计算机,用晶体管已缩小为几个机柜了。

把一个电路中所需的晶体管、电阻电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构,这便是集成电路,也叫做芯片和IC。集成电路中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗、智能化和高可靠性方面迈进了一大步。

集成电路发明者为杰克·基尔比(基于锗(Ge)的集成电路)和罗伯特·诺伊思(基于硅(Si)的集成电路)。当今半导体工业大多数应用的是基于硅的集成电路。

1965年,戈登·摩尔(GordonMoore)预测未来一个芯片上的晶体管数量大约每18个月翻一倍(至今依然基本适用),这便是著名的摩尔定律诞生。1968年7月,罗伯特·诺伊斯和戈登·摩尔从仙童(Fairchild)半导体公司辞职,创立了一个新的企业,即英特尔公司,英文名Intel为“集成电子设备(integratedelectronics)”的缩写。

电子产品的核心,信息产业的基石

智能手机为例,诸如骁龙、麒麟、苹果A系列CPU为微元件,手机基带芯片和射频芯片是逻辑IC;通常所说的2G或者4G运行内存RAM为DRAM,16G或者64G存储空间为NANDflash;音视频多媒体芯片为模拟IC。以上这些统统是属于半导体的范畴。

半导体位于电子行业的中游,上游是电子材料和设备。半导体和被动元件以及模组器件通过集成电路板连接,构成了智能手机、PC等电子产品的核心部件,承担信息的载体和传输功能,成为信息化社会的基石。

半导体主要分为集成电路和半导体分立器件。半导体分立器件包括半导体二极管、三极管等分立器件以及光电子器件和传感器等。

集成电路可分为数字电路、模拟电路。一切的感知:图像,声音,触感,温度,湿度等等都可以归到模拟世界当中。很自然的,工作内容与之相关的芯片被称作模拟芯片。除此之外,一些我们无法感知,但客观存在的模拟信号处理芯片,比如微波,电信号处理芯片等等,也被归类到模拟范畴之中。比较经典的模拟电路有射频芯片、指纹识别芯片以及电源管理芯片等。数字芯片包含微元件(CPU、GPUMCUDSP等),存储器(DRAM、NANDFlash、NORFlash)和逻辑IC(手机基带、以太网芯片等)。

1.2、集成电路工序多、种类多、换代快、投资大

简单的讲,电子制造产业包括:原材料砂子-硅片制造-晶圆制造-封装测试-基板互联-仪器设备组装。集成电路产业链主要为设计、制造、封测以及上游的材料和设备。

集成电路产业主要有以下特征:制造工序多、产品种类多、技术换代快、投资大风险高。

生产工序多:核心产业链流程可以简单描述为:IC设计公司根据下游户(系统厂商)的需求设计芯片,然后交给晶圆代工厂进行制造,这些IC制造公司主要的任务就是把IC设计公司设计好的电路图移植到硅晶圆制造公司制造好的晶圆上。完成后的晶圆再送往下游的IC封测厂,由封装测试厂进行封装测试,最后将性能良好的IC产品出售给系统厂商。

具体来说,可以细分为以下环节:

>IC设计:根据客户要求设计芯片

IC设计可分成几个步骤,依序为:规格制定→逻辑设计→电路布局→布局后模拟→光罩制作。规格制定:品牌厂或白牌厂的工程师和IC设计工程师接触,提出要求;逻辑设计:IC设计工程师完成逻辑设计图;电路布局:将逻辑设计图转化成电路图;布局后模拟:经由软件测试,看是否符合规格制定要求;光罩制作:将电路制作成一片片的光罩,完成后的光罩即送往IC制造公司。

>IC制造:将光罩上的电路图转移到晶圆上

IC制造的流程较为复杂,过程与传统相片的制造过程有一定相似主要步骤包括:薄膜→光刻→显影→蚀刻→光阻去除。薄膜制备:在晶圆片表面上生长数层材质不同,厚度不同的薄膜;光刻:将掩膜板上的图形复制到硅片上。光刻的成本约为整个硅片制造工艺的1/3,耗费时间约占整个硅片工艺的40~60%;

>IC封测:封装和测试

封装的流程大致如下:切割→黏贴→切割焊接→模封。切割:将IC制造公司生产的晶圆切割成长方形的IC;黏贴:把IC黏贴到PCB上;焊接:将IC的接脚焊接到PCB上,使其与PCB相容;模封:将接脚模封起来;

产品种类多。从技术复杂度和应用广度来看,集成电路主要可以分为高端通用和专用集成电路两大类。高端通用集成电路的技术复杂度高、标准统一、通用性强,具有量大面广的特征。它主要包括处理器、存储器,以及FPGA(现场可编程门阵列)、AD/DA(模数/数模转换)等。专用集成电路是针对特定系统需求设计的集成电路,通用性不强。每种专用集成电路都属于一类细分市场,例如,通信设备需要高频大容量数据交换芯片等专用芯片;汽车电子需要辅助驾驶系统芯片、视觉传感和图像处理芯片,以及未来的无人驾驶芯片等。

技术更新换代快。根据摩尔定律:当价格不变时,集成电路上可容纳的元器件数目,约每隔18-24个月便会增加一倍,性能也将提升一倍,从而要求集成电路尺寸不断变小。

芯片的制程就是用来表征集成电路尺寸的大小的一个参数,随着摩尔定律发展,制程从0.5微米、0.35微米、0.25微米、0.18微米、0.15微米、0.13微米、90纳米、65纳米、45纳米、32纳米、28纳米、22纳米、14纳米,一直发展到现在的10纳米、7纳米、5纳米。目前,28nm是传统制程和先进制程的分界点。

以台积电为例,晶圆制造的制程每隔几年便会更新换代一次。近几年来换代周期缩短,台积电2017年10nm已经量产,7nm将于今年量产。苹果iPhoneX用的便是台积电10nm工艺。除了晶圆制造技术更新换代外,其下游的封测技术也不断随之发展。

除了制程,建设晶圆制造产线还需要事先确定一个参数,即所需用的硅片尺寸。硅片根据其直径分为6寸(150mm)、8寸(200mm)、12寸(300mm)等类型,目前高端市场12寸为主流,中低端市场则一般采用8寸。晶圆制造产线的制程和硅片尺寸这两个参数一旦确定下来一般无法更改,因为如果要改建,则投资规模相当于新建一条产线。

投资大风险高。根据《集成电路设计业的发展思路和政策建议》,通常情况下,一款28nm芯片设计的研发投入约1亿元~2亿元,14nm芯片约2亿元~3亿元,研发周期约1~2年。对比来看,集成电路设计门槛显著高于互联网产品研发门槛。互联网创业企业的A轮融资金额多在几百万元量级,集成电路的设计成本要达到亿元量级。但是,相比集成电路制造,设计的进入门槛又很低,一条28nm工艺集成电路生产线的投资额约50亿美元,20nm工艺生产线高达100亿美元。

集成电路设计存在技术和市场两方面的不确定性。一是流片失败的技术风险,即芯片样品无法通过测试或达不到预期性能。对于产品线尚不丰富的初创设计企业,一颗芯片流片失败就可能导致企业破产。二是市场风险,芯片虽然生产出来,但没有猜对市场需求,销量达不到盈亏平衡点。对于独立的集成电路设计企业而言,市场风险比技术风险更大。对于依托整机系统企业的集成电路设计企业而言,芯片设计的需求相对明确,市场风险相对较小。

1.3、全球半导体产业转移与产业链变迁

半导体行业因具有下游应用广泛,生产技术工序多、产品种类多、技术更新换代快、投资高风险大等特点,叠加下游应用市场的不断兴起,半导体产业链从集成化到垂直化分工越来越明确,并经历了两次空间上的产业转移。

1.起源,美国,垂直整合模式

1950s,半导体行业于起源于美国,主要由系统厂商主导。全球半导体产业的最初形态为垂直整合的运营模式,即企业内设有半导体产业所有的制造部门,仅用于满足企业自身产品的需求。

2.家电,美国→日本,IDM模式

1970s,美国将装配产业转移到日本,半导体产业转变为IDM(Integrated Device Manufacture,集成器件制造)模式,即负责从设计、制造到封装测试所有的流程。与垂直整合模式不同,IDM企业的芯片产品是为了满足其他系统厂商的需求。随着家电产业与半导体产业相互促进发展,日本孵化了索尼、东芝等厂商。我国大部分分立器件生产企业也采用该类模式。

3.PC,美日→韩国、***地区,代工模式

1990s,随着PC兴起,存储产业从美国转向日本后又开始转向了韩国,孕育出三星、海力士等厂商。同时,***积体电路公司成立后,开启了晶圆代工(Foundry)模式,解决了要想设计芯片必须巨额投资晶圆制造产线的问题,拉开了垂直代工的序幕,无产线的设计公司(Fabless)纷纷成立,传统IDM厂商英特尔、三星等纷纷加入晶圆代工行列,垂直分工模式逐渐成为主流,形成设计(Fabless)→制造(Foundry)→封测(OSAT)三大环节。

4.智能手机,全球--->中国大陆

2010s,随着大陆智能手机品牌全球市场份额持续提升,催生了对半导体的强劲需求,加之国家对半导体行业的大力支持以及人才、技术、资本的产业环境不断成熟,全球半导体产业酝酿第三次产业转移,即向大陆转移趋势逐渐显现。

人力成本是产业链变迁和转移的重要动力

韩国和***地区的集成电路产业均从代工开始,代工选择的主要因素便是人力成本,当时韩国和***地区的人力成本相比于日本低很多,封测业便开始从日本转移到韩国、***地区。同样由于人力成本的优势,在21世纪初,封测业已经向国内转移,可以说已经完成了当年韩国、***地区的发展初期阶段。劳动力密集型的IC封测业最先转移;而技术和资金密集型的IC制造业次之,转移后会相差1-2代技术;知识密集型的IC设计一般很难转移,技术差距显著,需要靠自主发展。

1.4、4-6年周期性波动向上,突破4000亿美元

4-6年为1个周期性波动向上

费城半导体指数(SOX)由费城交易所创立于1993年,有20家企业的股票被列入该指数,为全球半导体业景气主要指标之一,其走势与全球半导体销售额的走势基本相同。

根据世界半导体贸易统计组织(WSTS)数据披露,全球半导体销售额于1994年突破1000亿美元,2000年突破2000亿美元,2010年将近3000亿美元,预计2017年将会突破4000亿美元,半导体产业规模不断扩大,逐渐成为一个超级巨无霸的行业。

从全球半导体销售额同比增速上看,全球半导体行业大致以4-6年为一个周期,景气周期与宏观经济、下游应用需求以及自身产能库存等因素密切相关。

2017突破4000亿美元,存储芯片是主要动力

据WSTS数据,2017年世界半导体市场规模为4086.91亿美元,同比增长20.6%,首破4000亿美元大关,创七年以来(2010年为年增31.8%)的新高。

其中,集成电路产品市场销售额为3401.89亿美元,同比增长22.9%,大出业界意料之外,占到全球半导体市场总值的83.2%的份额。存储器电路(Memory)产品市场销售额为1229.18亿美元,同比增长60.1%,占到全球半导体市场总值的30.1%,超越历年占比最大的逻辑电路(1014.13亿美元),也印证了业界所谓的存储器是集成电路产业的温度计和风向标之说。

半导体分立器件(D-O-S)方面,市场为685.02亿美元,同比增长10.1%,占到全球半导体市场总值的16.8%,主要得益于功率器件等推动分立器件(DS)市场销售额同比增长10.7%以及MEMS、射频器件、汽车电子、AI等推动传感器市场(Sensors)销售额同比增长15.9%。

据ICInsights报道,DRAM2017年平均售价(ASP)同比上涨77%,销售总值达720亿美元,同比增长74%;NANDFlash2017年平均售价(ASP)同比上涨38%,销售总额达498亿美元,同比增长44%,NORFlash为43亿美元,导致全球存储器总体市场上扬增长58%。如若扣除存储器售价上扬的13%,则2017年全球半导体市场同比增长率仅为9%的水平。依靠DRAM和NAND闪存的出色表现,三星半导体在2017年第二季度超越英特尔,终结英特尔20多年雄踞半导体龙头位置的记录。

从区域上看,WSTS数据显示北美(美国)地区市场销售额为864.58亿美元,同比增长31.9%,增幅提升36.6%,居全球首位,占到全球市场的21.2%的份额,起到较大的推动作用。其他地区(主要为中国)销售额为2478.34亿美元,同比增长18.9%,占到全球市场总值的60.6%。

半导体带动上游设备创历史新高。据SEMI预测,2017年半导体设备的销售额为559亿美元,比2016年增长35.6%。2018年,半导体设备的销售额达到601亿美元,比2017年增长7.5%。

2、供需变化涨价蔓延,创新应用驱动景气周期持续

2.1、供需变化沿产业链传导,涨价持续蔓延扩展

本轮涨价的根本原因为供需反转,并沿产业链传导,从存储器中DRAM和NAND供不应求涨价导致上游12寸硅片供不应求涨价,12寸晶圆代工厂涨价,NOR涨价,12寸硅片不足用8寸硅片代替,导致8寸硅片涨价,8寸晶圆代工厂涨价,传导下游电源管理IC、LCD/LED驱动IC、MCU、功率半导体MOSFET等涨价,涨价持续蔓延。此外,2017Q4加密币挖矿芯片半路杀出抢12寸晶圆先进制程产能。

2.1.1、存储器:供不应求涨价开始,是否持续还是看供需

存储器主要包括DRAM、NANDFlash和NORFlash。其中DRAM约占存储器市场53%,NANDFlash约占存储器市场42%,而NORFlash仅占3%左右。DRAM即通常所说的运行内存,根据下游需求不同主要分为:标准型(PC)、服务器(Server)、移动式(mobile)、绘图用(Graphic)和消费电子类(Consumer)。NANDFlash即通常所说的闪存,根据下游需求不同主要分为:存储卡/UFD、SSD嵌入式存储和其他。

存储器的涨价由供不应求开始,是否持续还得看供需。

DRAM

需求端:下游智能手机运行内存不断从1G到2G、3G、4G升级导致移动式DRAM快速需求增长,同时APP应用市场快速发展导致服务器内存需求增长。

供给端:DRAM主要掌握在三星、海力士、美光等几家手中,呈现寡头垄断格局,三星市占率约为45%。2016年Q3之前,DRAM价格一路走低,所有DRAM厂商都不敢贸然扩产。供不应求导致DRAM价格从2016年Q2/Q3开始一路飙升,DXI指数从6000点上涨到如今的30000点。DXI指数是集邦咨询于2013年创建反映主流DRAM价格的指数。

展望2018年上半年,因DRAM三大厂产能计划趋于保守,2018年新增投片量仅约5-7%,实质新产能开出将落于下半年,导致上半年供给仍然受限,整体市场仍然吃紧;SK海力士决议在无锡兴建新厂,最快产能开出时间落在2019年,我们预计在2018年上半年服务器内存价格仍然会延续涨价的走势。

2018Q1移动式内存价格可能会有较明显影响。在大陆智能手机出货疲弱的大环境影响下,虽然整体DRAM仍呈现供货吃紧的状态,但以三星为首率先调整对大陆智能手机厂商的报价,移动式内存的涨幅已较先前收敛,从原先的5%的季成长缩小为约3%。

NANDFlash

需求端:下游智能手机闪存存不断从16G到32G、64G、128G甚至256G升级导致嵌入式存储快速需求增长,同时随着SSD在PC中渗透率提升导致SSD需求快速增长。

供给端:2016和2017年为NANDFlash从2D到3DNAND制程转化年,产能存在逐渐释放的过程,主要厂商有三星、东芝、美光和海力士,三星同样是产业龙头,市占率约为37%。

展望未来,智能手机销售增速疲软,2018年上半年NAND需求恐不如预期,随着3D产能不断开出,市况将转变成供过于求,导致NANDFlash价格持续走跌的机率升高。

NORFlash

虽然NORFLASH市场份额较小,但是由于代码可在芯片内执行,仍然常常用于存储启动代码和设备驱动程序。需求端:随着物联网、智慧应用(智能家居、智慧城市、智能汽车)、无人机等厂商导入NORFlash作为储存装置和微控制器搭配开发,NORFlash需求持续增长。供给端:一方面由于DRAM和NAND抢食硅片产能,导致NORFlash用12寸硅片原材料供不应求涨价;另一方面,巨头美光及Cypress纷纷宣布淡出,关停部分生产线等,产生供给缺口,导致价格上涨。

经过近几年版图大洗牌,目前旺宏成为产业龙头,市占率约24%,CYPRESS(赛普拉斯)市场占有率约21%,美光科技市占率约20%,华邦电居第四位,大陆厂商兆易创新居第五,占有一席之地。从各家公司的产品分布上,最高端NORFLASH产品多由美光、赛普拉斯供应,应用领域以汽车电子居多;华邦、旺宏则以NORFLASH中端产品供应为主,应用领域以消费电子、通讯电子居多;而兆易创新提供的多为低端产品,主要应用在PC主板、机顶盒、路由器、安防监控产品等领域。

展望未来,随着iPhoneX采用AMOLED,需要再搭配一颗NORFlash,预期AMOLED智能型手机市场渗透率持续上升,对NORFlash需求的成长空间颇大。近年蓬勃发展的物联网IOT需要有记忆体搭载,以及车用系统也持续增加新的需求。兆易创新战略入股中芯国际,将形成存储器虚拟“IDM”合作模式,进一步加深双方合作关系,有助于保障长期产能供应,深度受益于NORFlash景气。

2.1.2、硅片:供需剪刀差形成,从12寸向8寸蔓延

硅片是半导体芯片制造最重要的基础原材料,在晶圆制造材料成本中占比近30%,是份额最大的材料。

目前主流的硅片为300mm(12英寸)、200mm(8英寸)和150mm(6英寸),其中12英寸硅片份额在65-70%左右,8寸硅片占25-27%左右,6寸占6-7%左右。近年来12英寸硅片占比逐渐提升,6和8寸硅片的市场将被逐步挤压,预计2020年二者合计占比由2014年的40%左右下降到2020年的30%左右,而更大尺寸450mm(18英寸)产能将在19年开始逐步投建。

硅片尺寸越大,单个硅片上可制造的芯片数量则越多,同时技术要求水平也越高。对于300mm硅片来说,其面积大约比200mm硅片多2.25倍,200mm硅片大概能生产出88块芯片而300mm硅片则能生产出232块芯片。更大直径的硅片可以减少边缘芯片,提高生产成品率;同时,在同一工艺过程中能一次性处理更多的芯片,设备的重复利用率提高了。

12英寸硅片主要用于高端产品,如CPUGPU等逻辑芯片和存储芯片;8英寸主要用于中低端产品,如电源管理IC、LCDLED驱动IC、MCU、功率半导体MOSFE、汽车半导体等。

硅片供给属于寡头垄断市场,目前全球硅晶圆厂商以日本、***、德国等五大厂商为主,包括日本信越、日本三菱住友SUMCO、环球晶圆、德国Siltronic、韩国SKSiltronic,前五大供应商囊括约90%以上的市场份额。

硅片的下游客户主要以三星、美光、SK海力士、东芝/WD为代表的存储芯片制造商和以台积电、格罗方德、联电、力晶科技、中芯国际为代表的纯晶圆代工业者。

需求端:过去十年来硅片需求稳定增长。2016与2007年相比,制造一颗IC面积减少了24%以上,2016年IC面积0.044平方英寸/颗,而2007年0.058平方英寸/颗,1年约减少2~3%。但来自终端需求成长,带动硅片需求量平均每年成长5~7%,故整体硅片面积每年呈3~5%的成长。

供给端:扩产不及时。据DIGITIMES的数据,自2006年至2016年上半,半导体硅片产业历经长达10年的供给过剩,大多数硅晶圆供货商获利不佳,使得近年来供给端的动作相当保守,供应商基本没有扩充产能,2017年受到下游存储器、ASIC、汽车半导体、功率半导体等需求驱动,硅片呈现供不应求的局面,供需反转形成剪刀差,硅片厂去库存,硅片价格逐渐上升,从12寸向8寸蔓延。

12寸硅片

需求端:ICinsights数据显示全球营运中的12寸晶圆厂数量持续成长,2017年全球新增8座12寸晶圆厂开张,到2020年底,预期全球将再新增9座的12寸晶圆厂运营,让全球应用于IC生产的12寸晶圆厂总数达到117座。而如果18寸(450mm)晶圆迈入量产,12寸晶圆厂的高峰数量可达到125座左右;而营运中8寸(200mm)量产晶圆厂的最高数量则是210座(在2015年12月为148座)。根据SUMCO的数据,2016下半年全球300mm硅片的需求已经达到520万片/月,2017年和2018年全球300mm硅片的需求分别为550万片/月和570万片/月。预计未来三年300mm硅片需求将持续增加,2020年新增硅片月需求预计超过750万片/月,较2017年增加200万片/月以上,需求提升36%,从2017-2022年复合需求增速超过9.7%,值得注意的是,以上测算需求还没有考虑部分中国客户。

供给端:根据SEMI的预测,2017年和2018年300mm硅片的产能为525万片/月和540万片/月。由于2017年之前硅片供大于求,硅片产业亏多赚少,各大硅片厂扩产意愿低,所以全球硅片的产量增长缓慢。各大厂商以涨价和稳固市占率为主要策略,到目前为止仅有SUMCO预计在2019年上半年增加11万片/月和Siltronic计划到19年中期扩产7万片/月。我们预计未来几年12寸硅片的缺货将是常态。

涨价:12寸硅片供不应求,缺货成常态,硅片价格逐步上升,下游晶圆厂开始去库存。信越半导体及SUMCO的12寸硅片签约价已从2017年的75美元/片上涨至120美元/片,涨幅高达60%。未来几年硅片供给仍然存在明显缺口,我们预计涨价趋势将持续,2018年12寸硅片将进一步涨价20%-30%左右。

8寸硅片

需求端:2017年上半年8寸晶圆厂整体的需求较平缓,随着2017年第3季旺季需求显现,预期随着硅晶圆续涨,在LCD/LED驱动IC、微控制器(MCU)、电源管理IC(PMIC)、指纹辨识IC、CIS影响传感器等投片需求持续增加。虽然LCD驱动IC、PMIC、指纹辨识IC等已出现转向12寸厂投片情况,但多数上游IC设计厂基于成本及客制化的考虑,仍以在8寸厂投片为主。Sumco预计到2020年200mm硅片需求量将达574万片/月,比2016年底的460万片/月增加24.78%。

供给端:8寸晶圆制造设备产能持续降低,部份关键设备出现严重缺货,二手8寸晶圆制造设备也是供不应求。在此情况下,晶圆代工短期厂很难大举扩增8寸晶圆产能,8寸硅晶圆的扩产需到2018年-2019年才有产出,我们预计未来几年8寸硅片也将处于供给紧张状态。

涨价:2017年12英寸硅晶圆供不应求且价格逐季调涨,8英寸硅晶圆价格也在2017年下半年跟涨,累计涨幅约10%。在投片需求持续增加,但扩产有限下,预期2018年上半年8寸晶圆厂产能整体产能仍吃紧。根据ESM报道,预期随着硅晶圆续涨价,预计2018年第1季8寸晶圆代工价格将会调涨5~10%。

2.1.3、8寸晶圆产品:产品涨价蔓延

8寸硅晶圆短缺以及晶圆厂产能紧缺的影响逐渐向市场渗透,而电源IC、MCU、指纹IC、LED/LCD驱动芯片、MOSFET等皆为8寸产线。

根据国际电子商情报道,多家国内外原厂发布了自2018年1月1日起涨价的通知,主要集中在MOSFET、电源IC、LCD驱动IC等产品,有的涨幅达到了15%-20%。国内厂商,富满电子、华冠半导体、芯电元、芯茂微电子、裕芯电子、南京微盟等对电源IC、LED驱动IC、MOSFET等产品进行了调价,其中MOSFET涨幅较大。国际分立器件与被动元器件厂商Vishay决定自2018年1月2日起对新订单涨价,未发货订单价格也将于3月1日起调整。

MOSFET:延长交期

根据富昌电子2017年Q4的市场分析报告指出,低压MOSFET产品,英飞凌Diodes,飞兆(安森美)、安森美、安世,ST,Vishay的交期均在延长,交期在16-30周区间。英飞凌交期16-24周,汽车器件交货时间为24+周。安世半导体交期20-26周,汽车器件产能限制。Vishay/Siliconix从5&6英寸晶圆厂转型成8英寸晶圆厂,货期也有改进。高压MOSFET产品,除IXYS和MS交期稳定之外,英飞凌、飞兆/安森美、ST、罗姆、Vishay皆为交期延长。

MCU:恐将缺货一整年

2017年12月,全球汽车电子芯片龙头大厂NXP(恩智浦)宣布,从2018年第一季度开始,MCU、汽车电子等产品将会进入涨价通道,涨价幅度5%-10%不等。此外,自2017年以来,全球多家MCU厂商产品出货交期皆自四个月延长至六个月,日本MCU厂更罕见拉长达九个月。2017年全球电子产品制造业营运大多相当红火,连日本半导体厂也出现多年不见正成长荣景,带动IC芯片等电子元件销量走升。预估后市于全球汽车电子、物联网应用需求不断爆发、持续成长,矽晶圆厂产能满载下,2018年全球MCU市场,恐将一整年持续面临供应短缺局面。

LCD驱动IC:涨价or缺货

根据WitsView预测,一方面,由于晶圆代工厂提高8英寸厂的IC代工费用,IC设计公司第一季可能跟着被迫向面板厂提高IC报价5~10%,以反映成本上升的压力。另一方面,随着物联网、车用电子以及智慧家居等需求兴起,带动电源管理与微控制器等芯片用量攀升,已经开始挤压8英寸晶圆厂LCD驱动IC的投片量。

近年来因面板厂的削价竞争,驱动IC价格大幅滑落,早已成为晶圆代工厂心中低毛利产品的代名词,当利润更佳的电源管理芯片或是微控制器的需求崛起,也刚好给了晶圆代工厂一个绝佳的调整机会,预估截至2018年第一季,晶圆代工厂驱动IC的投片量将下修约20%。中低端IT面板用驱动IC供应吃紧,驱动IC的交期普遍都拉长到10周以上,有可能连带影响面板的供货。

2.2、硅含量提升&创新应用驱动,半导体景气周期持续

本轮半导体景气周期以存储器、硅片等涨价开始,受益于电子产品硅含量提升和下游创新应用需求推动,我们认为半导体行业有望得到长效发展。

2.2.1、硅含量提升

按照ICInsights的预测,半导体所占电子信息产业的比例,将由2016年的25%提高到接近2017年的28.1%,将会有更多的元器件被半导体所取代或整合,或者更多的新功能新应用被新设备所采用,半导体对应电子产品的重要性越来越大,预计到2021年,半导体价值量在整机中的占比将上升到28.9%,提升空间广阔。

以电动汽车为例,据strategyanalytics2015数据,传统汽车的汽车电子成本大约在315美金,而插混汽车和纯电动汽车的汽车电子含量增加超过一倍,插混汽车大约703美金,纯电动汽车大约719美金。此外,汽车智能化还将进一步提高汽车电子的用量,从而推动半导体行业的发展。

2.2.2、创新应用驱动

根据SIA数据,2016全球半导体下游终端需求主要以通信类(含智能手机)占比为31.5%,PC/平板占比为29.5%,消费电子占比13.5%,汽车电子占比11.6%。

展望未来,半导体产业除了传统3C及PC驱动外,物联网、5G、AI、汽车电子、区块链及AR/VR等多项创新应用将成为半导体行业长效发展的驱动力。

物联网IOT:到2020年全球产业规模将达到2.93万亿美元

移动通讯商爱立信的数据显示,2015-2021年期间,全球基于蜂窝物联网和非蜂窝物联网的物联设备年复合增长率将分别达到27%、22%,增速约为传统移动电话的7倍。

物联网设备增长带动全球市场快速增长。据ICInsights等机构研究,2016年全球具备联网及感测功能的物联网市场规模为700亿美元,比上年增长21%。预计2017年全球物联网市场规模将达到798亿美元,增速为14%。2018年全球市场增速将达30%,规模有望超千亿美元。

市场调研机构Gartner数据显示,2017年全球物联网市场规模将达到1.69万亿美元,较2016年增长22%。在新一轮技术革命和产业变革带动下,预计物联网产业发展将保持20%左右的增速,到2020年,全球物联网产业规模将达到2.93万亿美元,年均复合增长率将达到20.3%。

5G:射频芯片和滤波器价值提升

据中国信息通信研究院预测,5G商用部署后,至2025年中国的5G连接数将达到4.28亿,占全球连接总数的39%。华为2018年抢先发布了首款3GPP标准的5G商用芯片和终端,2019年,华为将推出5G手机。5G时代频段和载波聚合技术会增加射频元件的使用数量,新技术提高了射频部分元器件的设计难度,带来元器件单机价值量提升。在半导体领域体现在射频芯片和滤波器两部分价值的提升。智能手机使用的RF前端模块与组件市场于2016年产值为101亿美元,到了2022年,预计将会成长至227亿美元。

人工智能AI&区块链:特殊应用芯片高速成长

人工智能芯片的发展路径经历了从通用走向专用,从CPU到GPU到FPGA再到ASIC。

《2016-2017中国物联网发展年度报告》显示2016年全球人工智能芯片市场规模达到23.88亿美金,预计到2020年将达到146亿美金,增长迅猛,发展空间巨大。

此外,以区块链为底层技术的加密货币带动挖矿芯片及其封装市场的增长。据预测,2017年若以主流28纳米流片的芯片数目来计算,2017年对应的芯片用量约为3.2亿个挖矿芯片,2017年全年矿机芯片封装市场约为9-11亿元之间。展望2018,往后还将出现12纳米制程以下的ASIC矿机芯片,根据DIGITIMES预估,2018年矿机芯片封测市场规模预估将成长至少四倍,逼近40亿元人民币以上。

以台积电为例,在iPhoneX出货量调降、中国对智能手机需求疲弱之际,加密货币相关业务或成为台积电营收贡献的及时雨,比特大陆2017年12月跃升为台积电的最大大陆客户。台积电预期虚拟货币相关特殊应用芯片,和其他具备核心深度学习高速运算的绘图芯片等,将是台积电2018成长最强的领域。根据Gartner预测,快速崛起的深度学习处理器到2022年将成长至160亿美元市场规模。

汽车电子:电动化+智能化+网联化推动汽车电子含量显著提升

随着全球能源、环境、交通安全等问题日渐突出和消费者对汽车的舒适、便利、娱乐等的要求越来越高,汽车向电动化、轻量化、智能化、联网化发展。根据普华永道和思略特预测,从2025年开始,电动车将迅速发展;而到2028年,4/5级无人驾驶汽车将成为主流。

汽车电动化+智能化+网联化趋势下,汽车电子含量显著提升,主要来自于两方面:一是电动化带来功率半导体、MCU、传感器等增加;二是智能化和网联化带来车载摄像头、雷达、芯片等增加。在智能化带来的增量方面,自动驾驶级别每提升一级,传感器的需求数量将相应的增加,到L4/L5级别,车辆全身传感器将多达十几个以上。

特斯拉为例,Autopilot2.0传感器包含12个超声波传感器,8个摄像头以及1个雷达。未来5年,随着汽车自动化级别的逐步提高,在雷达和摄像头模块的驱动下,ADAS/AD半导体市场将加速增长。英飞凌认为:2025年左右,L3自动驾驶车辆的单车半导体成本平均为580美元;2030年左右,L4/L5自动驾驶车辆的单车半导体成本平均为860美元。

据《中国汽车电子行业分析报告》数据显示,2013年,我国汽车电子市场规模为3120亿元,到2015年时,已增至3979亿元,呈现逐年快速增长态势。预计到2020年,我国汽车电子市场规模将达到7049亿元。

2.2.3、半导体景气周期持续

美国半导体行业协会(SIA)数据显示,2018年1月全球半导体销售额增长22.7%,达到创纪录的376亿美元,连续18个月实现增长。其中,美国半导体销售额同比飙升40.6%,创有史以来最大增幅;欧洲销售额增长19.9%,亚太及所有其它地区销售额增长18.6%,中国市场销售额增长18.3%,日本销售额增长15.1%。

SEMI预估,2018年半导体产值年增率约5%至8%,再创新高,2019年可望续增,产值将首度站上5,000亿美元大关。研究机构Gartner预期半导体市场2018年仍持续是个好年,但相较于2017年成长将会趋缓,2018年预测约达到7.5%,而在往后2019-2020年成长将呈现持平的状态。

根据ICInsights数据显示,在集成电路市场的四大产品类别:模拟、逻辑、存储和微元件中,2017-2022年模拟市场增速最高达到6.6%,而微元件市场仅为3.9%,整体集成电路市场年复合增长率为5.1%。

3、提高自给率迫在眉睫,大国战略推动产业发展

3.1、市场虽大自给率低,芯片国产化迫在眉睫

中国半导体市场接近全球的1/3。根据WSTS数据,2016年全球半导体销售额为3389亿美元,其中我国半导体销售额1075亿,占全球市场的31.7%。中国为全球需求增长最快的地区。2010年-2016年,全球半导体市场规模年均复合增速为6.3%,而中国年均复合增速为21.5%。随着5G、消费电子、汽车电子等下游产业的进一步兴起,叠加全球半导体产业向大陆转移,预计中国半导体产业规模进一步增长。

自给率水平低,核心芯片缺乏,国产化迫在眉睫。在2014及2015年的统计中芯片进口就超过了2000亿美元,超过了原油,成为中国进口量最大的商品。根据ICinsights数据,2015国内半导体自给率还没超过10%,16年自给率刚达到10.4%。预计15年到20年,国内的半导体自给产值CAGR能达到28.5%,从而达到2020年国产化比例15%的水平。

特别是核心芯片自给率极低。我国计算机系统中的CPUMPU、通用电子统中的FPGA/EPLD和DSP、通信装备中的嵌入式MPU和DSP、存储设备中的DRAM和NandFlash、显示及视频系统中的DisplayDriver,国产芯片占有率都几乎为零。

这种情况对于国家和企业而言都是非常不利的,不管是从国家安全还是电子产业的发展而言,全力推动半导体产业目前已经成为了全国上下的一致共识,整个行业的发展动力非常充足。

根据ICInsight的数据,2016年全球20大半导体企业中,仍然以海外公司为主。其中美国有8家,日本、***地区和欧洲各占3家,韩国占2家,新加坡有1家,没有一家大陆半导体公司上榜。不管是设计制造还是IDM模式方面,大陆半导体产业和国际先进水平仍然存在不小差距。

3.2、大国战略推动产业发展,大基金撬动千亿产业资金

国内半导体发展大致可以分为三个阶段:

第一阶段为1982-2000,称之为搭框架阶段。1982年成立了国务院计算机与大规模集成电路领导小组,由于当时的国际环境比较好,我们提出以市场换技术,以北京、上海、无锡为中心建立半导体产业基地,尤其是90s的无锡华晶,成为国内瞩目的半导体标杆性企业。

第二阶段为2000-2014,18号文之后的15年,商业化初步阶段。2000年国务院[18号文],出台《鼓励软件产业和集成电路产业发展的若干政策》,到2011年,国务院很快发布了关于《进一步鼓励软件和集成电路产业发展若干政策》的通知,就是4号文,在税收和财政上给予半导体产业优惠政策,产业分工得以初步实现。晶圆厂迎来一波建设浪潮,2000年后,天津摩托罗拉投资14亿美元建成月产2.5万片的8英寸工厂,上海中芯国际投资15亿美元建成月产4.2万片的8英寸工厂。到2003年,国内出现一批晶圆代工企业,如上海宏力、苏州和舰(联电)、上海贝岭、上海先进(飞利浦),北京中芯环球等。

第三阶段为2014-2030,以2014年发展纲要颁布为起点的15年,进入跨越式发展推进阶段。2014年6月,国务院颁布了《国家集成电路产业发展推进纲要》,提出设立国家集成电路产业基金(简称“大基金”),将半导体产业新技术研发提升至国家战略高度。且明确提出,到2020年,集成电路产业与国际先进水平的差距逐步缩小,全行业销售收入年均增速超过20%,企业可持续发展能力大幅增强;到2030年,集成电路产业链主要环节达到国际先进水平,一批企业进入国际第一梯队,实现跨越发展。

据集邦咨询统计,截至2017年11月30日,大基金累计有效决策62个项目,涉及46家企业,累计有效承诺额1,063亿元,实际出资794亿元,分别占首期总规模的77%和57%,投资范围涵盖IC产业上、下游。大基金在制造、设计、封测、设备材料等产业链各环节进行投资布局全覆盖,各环节承诺投资占总投资的比重分别是63%、20%、10%、7%。

我们对大基金投资标的进行了汇总,截至2018年1月19日,大基金已成为50多家公司股东,涉及18家A股公司、3家港股公司,目前大基金持股市值超200亿。

在国家集成电路产业投资基金之外,多个省市也相继成立或准备成立集成电路产业投资基金,目前包括北京、上海、广东等在内的十几个省市已成立专门扶植半导体产业发展的地方政府性基金。根据国家集成电路产业基金的统计,截止2017年6月,由“大基金”撬动的地方集成电路产业投资基金(包括筹建中)达5145亿元。

目前大基金二期已经启动,募集金额有望超过一期,一期规模为1387亿元。大基金总经理丁文武透露,大基金将提高对设计业的投资比例,并将围绕国家战略和新兴行业进行投资规划,比如智能汽车、智能电网、人工智能、物联网、5G等,并尽量对设备和材料给予支持,推动其加快发展。

4、大陆设计制造封测崛起,材料设备重点突破

4.1、产业生态逐步完善,三业发展日趋均衡

经过多年的发展,通过培育本土半导体企业和国外招商引进国际跨国公司,国内逐渐建成了覆盖设计、制造、封测以及配套的设备和材料等各个环节的全产业链半导体生态。大陆涌现了一批优质的企业,包括华为海思、紫光展锐、兆易创新、汇顶科技等芯片设计公司,以中芯国际、华虹半导体、华力微电子为代表的晶圆制造企业,以及长电科技、华天科技、通富微电、晶方科技等芯片封测企业。

根据集邦咨询数据,2017年中国半导体产值将达到5176亿元人民币,年增率19.39%,预估2018年可望挑战6200亿元人民币的新高纪录,维持20%的年增长速度,高于全球半导体产业增长率。

近年来,国内半导体一直保持两位数增速,制造、设计与封测三业发展日趋均衡,但我国集成电路产业结构依然不均衡,制造业比重过低。2017年前三季度,我国IC设计、制造、封测的产业比重分别为37.7%、26%和35.5%,但世界集成电路产业设计、制造和封测三业占比惯例为3∶4∶3。

我国2016年设计业占比首次超越封测环节,未来两年在AI、5G、物联网,以及区块链、指纹识别、CIS、AMOLED、人脸识别等新兴应用的带动下,预估设计业占比将在2018年持续增长至38.8%,稳居第一的位置。

制造产业加速建设,尤其以12寸晶圆厂进展快速。2018年将有更多新厂进入量产阶段,整体产值将有望进一步攀升,带动IC制造的占比在2018年快速提升至28.48%。

封测业基于产业集群效应、先进技术演进驱动,伴随新建产线投产运营、中国本土封测厂高阶封装技术愈加成熟、订单量增长等利多因素带动,我们预计2018年封测业产值增长率将维持在两位数水平,封测三巨头增速将优于全行业。

4.2、设计:自主发展,群雄并起

我国部分专用芯片快速追赶,正迈向全球第一阵营。专用集成电路细分领域众多,我国能够赶上世界先进水平的企业还是少数,这主要有两类。一是成本驱动型的消费类电子,如机顶盒芯片、监控器芯片等。二是通信设备芯片,例如,华为400G核心路由器自主芯片,2013年推出时领先于思科等竞争对手,并被市场广泛认可。上述芯片设计能较好地兼顾性能、功耗、工艺制程、成本、新产品推出速度等因素,具备很强的国际竞争力。但是,在高端智能手机、汽车、工业以及其他嵌入式芯片市场,我国差距仍然很大。

高端通用芯片与国外先进水平差距大是重大短板。在高端通用芯片设计方面,我国与发达国家差距巨大,对外依存度很高。我国集成电路每年超过2000亿美元的进口额中,处理器和存储器两类高端通用芯片合计占70%以上。英特尔、三星等全球龙头企业市场份额高,持续引领技术进步,对产业链有很强的控制能力,后发追赶企业很难获得产业链的上下游配合。虽然紫光展锐、华为海思等在移动处理器方面已进入全球前列。但是,在个人电脑处理器方面,英特尔垄断了全球市场,国内相关企业有3~5家,但都没有实现商业量产,大多依靠申请科研项目经费和政府补贴维持运转。龙芯近年来技术进步较快,在军品领域有所突破,但距离民用仍然任重道远。国内存储项目刚刚起步,而对于FPGA、AD/DA等高端通用芯片,国内基本上是空白。

收购受限,自主发展。随着莱迪思(以FPGA产品为主营业务)收购案被否决,标志着通过收购海外公司来加速产业发展的思路已经不太现实,越是关键领域,美国等国家对于中国的限制就会严格,只有自主发展,才是破除限制的根本方法。

海思展讯进入全球前十。根据ICInsights2017年全球前十大Fabless排名,国内有两家厂商杀进前十名,分别是海思和紫光集团(展讯+RDA),这两者分别以47.15亿美元和20.50亿美元的收入分居第七位和第10位,其中海思的同比增长更是达到惊人的21%,仅仅次于英伟达AMD,在Fabless增长中位居全球第三。

大陆设计业群雄逐鹿。根据《砥砺前行的中国IC设计业》数据显示,2017年国内共有约1380家芯片设计公司,较去年的1362家多了18家,总体变化率不大。而2016年,则是中国芯片设计行业突飞猛进的一年,相关设计公司数量较2015年大增600多家。

根据集邦咨询数据,2017年中国IC设计业产值预估达人民币2006亿元,年增率为22%,预估2018年产值有望突破人民币2400亿元,维持约20%的年增速。

2017年中国IC设计产业厂商技术发展仅限于低端产品的状况已逐步改善,海思的高端手机应用处理芯片率先采用了10nm先进制程,海思、中兴微的NB-IoT寒武纪、地平线的AI布局在国际崭露头角,展锐、大唐、海思的5G部署也顺利进行。

根据集邦咨询预估的2017年IC设计产业产值与厂商营收排名数据,今年前十大IC设计厂商排名略有调整,大唐半导体设计将无缘前十,兆易创新和韦尔半导体凭借优异的营收表现进入排行前十名。

海思:受惠于华为手机出货量的强势增长和麒麟芯片搭载率的提升,2017年营收年增率维持在25%以上。

展锐:受制于中低端手机市场的激烈竞争,2017年业绩出现回调状况。

中兴微电子:以通讯IC设计为基础,受到产品覆盖领域广泛的带动,预估营收成长率超过30%。

华大半导体:业务涉及到智能卡及安全芯片、模拟电路、新型显示等领域,2017年营收也将超过人民币50亿元。

汇顶科技:在智能手机指纹识别芯片搭载率的持续提升和产品优异性能的带动下,在指纹市场业绩直逼市场龙头FPC,预计今年营收增长也将超过25%。

兆易创新:首次进入营收前十名,凭借其在NORFlash和32bitMCU上的出色市场表现,2017年营收成长率有望突破40%,超过人民币20亿元。

而在芯谋研究发布的2017年中国十大集成电路设计公司榜单上,比特大陆以143亿元的年销售额跃升第二,成为中国芯片设计业的年度黑马。比特大陆是全球最大的比特币矿机生产商,旗下的蚂蚁矿机系列2017年销量在数十万台,市场占有率超过80%。

2018年,中国IC设计产业在提升自给率、政策支持、规格升级与创新应用三大要素的驱动下,将保持高速成长的趋势,其中,中低端产品市场占有率持续提升,国产化的趋势将越加明显。另一方面,资金与政策支持将持续扩大。大基金第二期正在募集中,且会加大对IC设计产业的投资占比,同时选择一些创新的应用终端企业进行投资。此外,科技的发展也引领终端产品规格升级,物联网、AI、汽车电子、专用ASIC等创新应用对IC产品的需求不断扩大,也将为2018年IC设计产业带来成长新动力。

4.3、制造:产业转移,3代工+3存储

晶圆制造产业向大陆转移。在半导体向国内转移的趋势下,国际大厂纷纷到大陆地区设厂或者增大国内建厂的规模。据ICInsight数据,2016年底,大陆地区晶圆厂12寸产能210K(包括存储产能),8寸产能611K。本土的中芯国际、华力微以及武汉新芯的12寸产能合计为160K。

大陆12寸晶圆厂产能爆发。根据SEMI数据显示,预计2017年至2020年间,全球投产的晶圆厂约62座,其中26座位于中国,占全球总数的42%。根据TrendForce统计,自2016年至2017年底,中国新建及规划中的8寸和12寸晶圆厂共计约28座,其中12寸有20座、8寸则为8座,多数投产时间将落在2018年。预估至2018年底中国12寸晶圆制造月产能将接近70万片,较2017年底成长42.2%;同时,2018年产值将达人民币1,767亿元,年成长率为27.12%。

晶圆代工三强:中芯国际、华虹半导体、华力微

在晶圆代工市场,大陆厂商面临着挑战与机遇。一方面,大陆设计公司在快速成长,本土设计公司天然有支持本土制造厂商的倾向;另一方面,制造业发展所需资金、人力与知识积累的门槛越来越高,在这些方面中国厂商与世界领先厂商的差距有拉大的趋势。如何在现有基础上稳扎稳打,逐步缩小与世界先进水平的差距,相当考验以中芯国际、华宏宏力、华力微为代表的大陆代工厂的经营能力。

全球晶圆代工稳步增长,行业集中高。ICInsight预计2016-2021年的纯晶圆代工厂将年均以7.6%的复合增速增长,从2016年的500亿美元增长到2021年的721亿美元。纯晶圆代工行业集中度很高,前四大纯晶圆代工厂合计占据全球份额的85%,其中台积电一家更是雄踞近60%的市场份额。基于晶圆代工行业高技术高投入的门槛,我们判断晶圆代工行业格局短期不会有太大变化,但国内中芯国际可能会是增速最快的一家。

国内代工三强与国际巨头相比,追赶仍需较长时间。从大陆市场来看,由于国内市场的崛起,尤其是设计公司的快速发展,纯晶圆厂在国内的销售额的增长迅猛。根据ICinsight预测,2017年大陆地区晶圆代工市场达到70亿美金,同比增长16%,显著高于全球平均增速。台积电依然是一家独大,占比高达47%。

国内先进制程落后相差两代以上。半导体晶圆制造集中度提升,只有巨头才能不断地研发推动技术的向前发展。世界集成电路产业28-14nm工艺节点成熟,14/10nm制程已进入批量生产,Intel、三星和台积电均宣布已经实现了10nm芯片量产,并且准备继续投资建设7nm和5nm生产线。而国内28nm工艺仅在2015年实现量产,且仍以28nm以上为主。

本土晶圆厂最先进量产制程目前仍处于28nmPoly/SiON阶段,虽然在28nm营收占比、28nmHKMG量产推进及方面皆取得不错的成绩。中芯国际是国内纯晶圆制造厂龙头,在传统制程(≥40nm)已具备相当的比较优势,同时积极扩展28nm领域,但面临最大的障碍是28nm良率不足的问题,一旦未来6-12个月内取得突破,将为公司打开更广阔空间,相应的扩产力度和节奏都将大大提高。梁孟松入职中芯担任联合CEO,极大地提高了关键制程确定性。梁孟松早年是台积电和三星的技术核心人物,台积电的130nm、三星的45/32/28nm每一节点都有梁的突出贡献。我们认为在梁主导研发之后,将有效整合中芯现有资源,加快突破28nm的进程以及进军14nm研发。但另一方面,台积电(南京)、联芯(厦门)、格芯(成都)等外资厂商的同步登陆布局也将进一步加剧与本土厂商在先进制程的竞争。

存储器三强:长江存储、合肥长鑫、福建晋华

存储器分类、市场空间、竞争格局等相关内容已在本文2.1节介绍(单击此处跳转查看)。2017年风光无限的存储器市场上,中国是买单的一方,无论是DRAM还是NAND闪存,现在的自给率仍然是零。目前大陆用于专门生产存储器的12英寸晶圆厂都主要为外资企业,包括SK海力士(无锡)、三星(西安)和英特尔(大连)。本土存储项目刚刚起步,产线尚在建设当中,主要包括武汉长江存储、福建晋华集成、合肥长鑫存储。

长江存储是由紫光集团与武汉新芯合作成立,首期投入超过600亿元,预计未来还将追加300亿美元。2016年底动工国家存储器基地项目,2017年2月宣布与微电子所联合研发的32层3DNANDFlash芯片顺利通过测试,目前已累积多个3DNAND专利,有望2018年底顺利投产,预计2020年月产能将达30万片。紫光还计划在成都和深圳投资两条总产能14万/月的NANDFlash12寸生产线。但是紫光的NANDFlash制程节点仍落后国际大厂1-2代。目前长江存储的重心放在3DNANDflash的开发上面,同时也在推进20/18nm的DRAM开发,DRAM进度慢于NANDFLASH,预计DRAM最快将于2020年量产。

合肥长鑫存储由兆易创新、中芯国际前CEO王宁国与合肥产投签订协议成立,项目预算金额为180亿元人民币。兆易创新负责研发19nm工艺制程的12英寸晶圆移动型DRAM,目标于2018年底前研发成功,实现产品良率不低于10%。届时,合肥长鑫将成为中国第一家自主化大规模DRAM工厂,将是世界第四家突破20nm以下DRAM生产技术的公司。

福建晋华项目由台联电提供技术专攻利基型DRAM(消费电子),已投资56.5亿元在晋江建设12寸晶圆厂,初期将导入32nm制程,规划产能为每月6万片,预计2018年9月开始试产。

4.4、封测:力争先进,三足鼎立

现代电子封装包含的四个层次:零级封装——半导体制造的前工程,芯片的制造,晶体管互连7-500纳米;一级封装——半导体制造的后工程,芯片的封装,通常的封装是指一级封装,封装体内互连20-500微米;二级封装——在印刷线路板上的各种组装,基板上互连100-1000微米;三级封装——手机等的外壳安装,仪器设备内互连1000微米。

根据封装材料分类,可分为金属封装体(约占1%):外壳由金属构成,保护性好、但成本高,适于特殊用途;陶瓷封装体(约占2%):外壳由陶瓷构成,保护性好、但成本高,适于特殊用途;塑料封装体(约占93%):由树脂密封而成,成本低,占封装体的90%以上,被广泛使用。

目前主流市场封装形式粗略地可分为的两种:引线框架型和球栅阵列型。

在性能和成本的驱动下,封装技术发展呈现两大趋势:微型化和集成化。微型化是指单个芯片封装小型化、轻薄化、高I/O数发展;而集成化则是指多个芯片封装在一起。集成化并不是相互独立的,集成化可以根据不同的微型化组合形成多种解决方案。

微型化发展出FOWLP,封装的“先进制程”

封装技术经历了引线框架(DIPSOPQFPQFN)→WBBGA(焊线正装)→FCBGA(倒装)→WLP(晶圆级封装)的发展过程,可容纳的I/O数越来越多,封装的厚度和尺寸越来越小。FC和WLP属于先进封装。

WLP封装优点包括成本低、散热佳、电性优良、信赖度高,且为芯片尺寸型封装,尺寸与厚度皆可达到更小要求等。WLP封装另一项优势在于封装制程采取整批作业,因此晶圆尺寸越大,批次封装数量越多,成本能压得更低,符合晶圆厂由8吋转进12吋发展趋势,WLP专业封测厂利润空间也可提高。

WLP又经历了从Fan-in(Fan-inWLP一般称为WLCSP)向Fan-out(Fan-outWLP一般简称为FOWLP)的演进,Fan-out可实现在芯片范围外延伸RD以容纳更多的I/O数。

Fan-OutWLP技术是先将芯片作切割分离,然后将芯片正面朝下黏于载具(Carrier)上,并且芯片间距要符合电路设计的节距(Pitch)规格,接者进行封胶(Molding)后形成面板(Panel)。后续将封胶面板与载具分离,因为封胶面板为晶圆形状,又称重新建构晶圆(ReconstitutedWafer),可大量应用标准晶圆制程,在封胶面板上形成所需要的电路图案。由于封胶面板的面积比芯片大,不仅可以采用扇入(Fan-In)方式制作I/O接点,也可以采用扇出(Fan-Out)方式制作,如此便可容纳更多的I/O接点数目。

集成化发展出SIP,超越摩尔极限

随着摩尔定律发展接近极限,集成电路的集成化越来越高,呈现出两种集成路径,一是moremoore,即在设计和制造端将多个功能的系统集成在一个芯片上,即SOC技术(Systemonchip),同时封测端发展出的FO-WLP技术正好可以用来封装SOC芯片;二是morethanmoore,即是在封测端将多个芯片封装成一个,即SIP技术(SysteminPackage)。

SIP是从封装的立场出发,对不同芯片进行并排或叠加的封装方式,将多个具有不同功能的有源电子元件与可选无源器件,以及诸如MEMS或者光学器件等其他器件优先组装到一起,实现一定功能的单个标准封装件。SiP有效地突破了SoC在整合芯片途径中的限制,极大地降低了设计端和制造端成本,也使得今后芯片整合拥有了客制化的灵活性。

SIP封装并无一定形态,SIP封装可根据不同芯片排列方式与不同内部结合技术的搭配,生产定制化产品,满足客户定制化需求,例如采取多种裸芯片或模块进行平面式2D封装(MCM等)或3D(MCP、SatckDie、PoP、PiP等)封装,其内部的互连技术可以使用引线键合(WireBonding),也可使用倒装焊(FlipChip)或硅通孔(TSV)等,还可采用多功能性基板整合组件的方式,将不同组件内藏于多功能基板中(即嵌入式封装),最终实现功能整合。

TSV助力SIP向3D发展

TSV(ThroughSiliconVia)和WB金属线连接以及倒装FC中的bumping都是一种连接技术。TSV在芯片间或晶圆间制作垂直通道,实现芯片间垂直互联。相比引线键合技术以及倒转片技术,TSV连线长度缩短到芯片厚度,传输距离减少到千分之一;可以实现复杂的多片全硅系统集成;可以显著减小RC延迟,提高计算速度;显著降低噪声、能耗和成本。

TSV最早应用于CIS封装,目前成本较高,主要应用于图像传感器、转接板、存储器、逻辑处理器+存储器、RF模组、MEMS晶圆级3D封装等高端封装。未来若在成本控制方面有所突破,相信TSV技术大有取代引线键合互联之势。

除了先FOWLP和SIP2.5D/3D集成电路封装,还有一种先进封装技术称为嵌入式封装(EmbeddedDie),即在PCB板中的嵌入芯片。智能手机中的DC/DC变换器是首款出货量显著嵌入式封装产品。嵌入式芯片适用的汽车、医疗和航空航天等领域,为更长的认证时间和监管认证周期而进展缓慢。

先进封装技术(FC、FOWLP、SIP、TSV)重构了封测厂的角色。FOWLP使得封测厂向上延伸到制造工序;SIP和TSV使得封测厂向下游延伸到微组装(二级封装)。

苹果iPhone7的A10处理器采用了台积电的FoWLP和SIP相结合的技术,台积电内部称作InFoWLP技术。A10处理器是将应用处理器与移动DRAM整合在同一个封装中,相比传统POP封装,由于InFOWLP封装不使用基板,可减少0.6厘米的厚度,为未来几年的移动封装技术立下新的标竿。

苹果AppleWatchS系列芯片是最早大规模使用SiP技术的典型的应用。同时iPhone中也具备多个SiP模组,在iPhone7中SiP模组多达5个。

从市场上看,根据Yole数据,先进封装2016年至2022年的年复合增长率达到7%,高于整个封装行业(3-4%),半导体行业(4-5%),PCB行业(2-3%)以及全球电子产品工业(3-4%)和全球国内生产总值(2-3%)。发展最快的先进封装技术是Fan-Out(36%),其次是2.5D/3DTSV(28%)。到2022年,扇出预计将超过3亿美元,到2021年预计2.5D/3DTSV将超过1亿美元。FC技术目前占比仍然是最大的,2017年达到19.6亿美元,占先进包装收入的81%。随着Fan-Out封装的渗透提升,到2020年预计FC市场份额将下降至74%。

具体看FOWLP市场,FOWLP市场包括两个部分,一是单芯片扇出封装(coreFO),应用于原先Fan-in无法应用的通讯芯片、电源管理IC等大宗应用市场;二是高密度扇出封装(HDFO),FoWLP可作为多芯片、IPD或无源集成的SiP解决方案,应用于AP以及存储芯片。如台积电的InFO技术在16nmFinFET上可以实现RF与Wi-Fi、AP与BB、GPU与网络芯片三种组合。

根据Yole数据,预计2017年FOWLP市场达到14亿美元,2022年市场规模将上升到23亿美元,未来年复合成长率达20%。

国内封测三强进入第一梯队,抢先布局先进封装

中国半导体要赶上世界先进水平大约还需要十年时间,但封装技术门槛相对较低,国内发展基础相对较好,所以封测业追赶速度比设计和制造更快。中国半导体第一个全面领先全球的企业,最有可能在封测业出现。

成长迅速,大陆封测三巨头快速追赶。内生增长+外延并购双向驱动,长电+华天+通富过去十年已经完成了基础框架搭建,内生稳步快速增长;2014年以来,相继华天收购美国FCI,长电收购星科金朋,通富微电收购AMD苏州和槟城两座工厂,完成规模体量的快速扩张。

根据拓墣产业研究院10月份的报告显示,在专业封测代工的部分,2017年全球前十大专业封测代工厂商营收,前五名依次为日月光、安靠、长电科技、矽品和力成,后五名依次为:天水华天、通富微电、京元电、联测和南茂科技。长电科技、华天科技、通富微电组成大陆封测三强。

封测产业高端化,技术上完成国产替代。国内封测产业已经具备规模和技术基础。目前大陆厂商与业内领先厂商的技术差距正在缩小,基本已逐渐掌握最先进的技术,大陆厂商的技术劣势已经不明显。业内领导厂商最先进的技术大陆厂商基本已逐渐掌握,比如凸快技术、晶圆级封装和3D堆叠封装等。在应用方面,FC封装技术大陆三大封测厂均已实现批量出货,WLP晶圆级封装也有亿元级别的订单,SiP系统级封装的订单量也在亿元级别。

根据YoleDevelopment统计,2016年全球先进封装供应商排名中,中国长电科技将以7.8%的市占率超过日月光、安靠(Amkor)、台积电及三星等,成为全球第三大封装供应商。

从短期看,日月光合并硅品,美国安靠收购日本J-Device,体量庞大,长电目前处于对星科金朋的整合消化期,华天和通富距离第一梯队还有一段差距,短期难以从规模上超越。从长远看,国内封测技术已经跟上全球先进步伐,随着国内上游芯片设计公司的崛起,下游配套晶圆建厂逻辑的兑现,辅以国家政策和产业资本的支持,国内封测企业全面超越台系厂商,是大概率事件。

4.5、设备:星星之火,等待燎原

半导体集成电路制造过程及其复杂,需要用到的设备包括硅片制造设备、晶圆制造设备、封装设备和辅助设备等。

硅片制造设备

以IC集成电路用的300毫米(12寸)大硅片为例,生产工艺流程如下:拉晶—滚磨—线切割—倒角—研磨—腐蚀—热处理—边缘抛光—正面抛光—清洗—外延—检测。晶体生长设备直接决定了后续硅片的生产效率和质量,是硅片生产过程中的重中之重。硅片尺寸越大,纯度越高,对生产工艺和设备的要求也就越高。目前国产单晶炉生产的硅片良率在50%左右,进口单晶炉能达到90%以上,国产设备在技术上还有较大提升空间。

晶盛机电是目前国内唯一能生产大尺寸单晶炉的厂商。目前在半导体级别8英寸单晶炉领域已成功实现进口替代,12英寸单晶炉也进入小批量产阶段。

晶圆制造设备

在晶圆制造中,总共有七大生产区域,分别是扩散(ThermalProcess)、光刻(Photo-lithography)、刻蚀(Etch)、离子注入(IonImplant)、薄膜生长(DielectricDeposition)、抛光(CMP,即化学机械抛光)、金属化(Metalization),共涉及7大类设备:扩散炉(氧化),光刻机,刻蚀机,离子注入机,薄膜沉积设备,化学机械抛光机和清洗机。

根据SEMI的数据,以一座投资规模为15亿元美金的晶圆厂为例,晶圆厂70%的投资用于购买设备(约10亿元美金),设备中的70%是晶圆的制造设备,封装设备和测试设备占比约为15%和10%。晶圆制造设备中,光刻机,刻蚀机,薄膜沉积设备为核心设备,分别占晶圆制造环节设备成本的30%,25%,25%。

美日荷三国垄断,半导体设备行业集中度非常高

全球半导体设备十强里面,只有美日荷三个国家的企业入围。2016年前五大厂商应用材料、ASML、LamResearch、TokyoElectron和KLA-Tencor合计市场份额高达92%,其中应用材料AMAT市场占有率为24%。

荷兰ASML几乎垄断了高端领域的光刻机,市场份额高达80%。ASML新出的EUV光刻机可用于试产7nm制程,价格高达1亿美元。AMAT在CVD设备和PVD设备领域都保持领先,LamResearch是刻蚀机设备领域龙头。

国产设备星星之火可以燎原

随着我国半导体产业持续快速发展,国内半导体设备业呈现出较快发展的势头。在国家科技重大专项以及各地方政府、科技创新专项的大力支持下,国产半导体设备销售快速稳步增长,多种产品实现从无到有的突破,甚至有些已经通过考核进入批量生产,在国内集成电路大生产线上运行使用。

中电科:在离子注入机和CMP(化学机械抛光机)领域能力较强。

>离子注入机:2016年推出的45-22nm低能大束流离子注入机在2017年也在中芯国际产线进行验证,验证通过后,将会批量出货,进一步提高中芯国际产线离子注入机国产化率。

>CMP:2017年11月21日,电科装备自主研发的200mmCMP商用机完成内部测试,发往中芯国际天津公司进行上线验证,这是国产200mmCMP设备首次进入集成电路大生产线。

北方华创:在氧化炉、刻蚀机、薄膜沉积设备和清洗设备领域能力较强。

>氧化炉:2017年11月30日,北方华创下属子公司北方华创微电子自主研发的12英寸立式氧化炉THEORISO302MoveIn长江存储生产线,应用于3DNANDFlash制程,扩展了国产立式氧化炉的应用领域。

>刻蚀机:2016年研发出了14nm工艺的硅刻蚀机,目前正在中芯国际研发的14nm工艺上验证使用。2017年11月,研发的中国首台适用于8英寸晶圆的金属刻蚀机成功搬入中芯国际的产线。

>薄膜沉积设备:28nm级别的PVD设备和单片退火设备领域实现了批量出货,14nm级别的ALD,ALPVD,LPCVD,HMPVD等多种生产设备正在产线验证中。

>清洗机:自研的12英寸单片清洗机产品主要应用于集成电路芯片制程,2017年8月7日成功收购Akrion公司后,北方华创微电子的清洗机产品线将得以补充,形成涵盖应用于集成电路、先进封装、功率器件、微机电系统和半导体照明等半导体领域的8-12英寸批式和单片清洗机产品线。

中微半导体:在介质刻蚀机、硅通孔刻蚀机以及LED用MOCVD领域能力较强。

>介质刻蚀机:目前已经可以做到22nm及其以下,14nm也在产线进行验证,同时在推进5nm的联合研究。

>硅通孔刻蚀机:主要用于集成电路芯片的TSV先进封装。

>MOCVD:公司的MOCVD达到世界先进水平,实现了对美国的VEECO和德国的爱思强产品的进口替代,客户为三安光电等led芯片厂商。截止2017年10月,其MOCVD设备PrismoA7机型出货量已突破100台。

上海微电子:国内唯一的一家从事光刻机研发制造的公司。

目前制造用光刻机只能做到90nm,与主流65nm以下还有较大差距。不过,封装使用的光刻机,达到1-2微米就可以使用,上海微电子研发制造的500系列步进投影光刻机,面向IC后道封装和MEMS/NEMS制造领域,国内市场占有率达80%以上。

盛美半导体:在清洗机领域能力较强。

公司的SAPS技术最高可以应用于65nm制程的硅片清洗;TEBO技术可以实现对FinFET,DRAM,3DNAND,实现覆盖16nm-19nm的制程,产品已经批量应用于上海华力微电子的产线。此外,公司2017年5月在合肥投资3000万美元建立研发中心,与合肥长鑫和兆易创新一起开发DRAM技术。

晶盛机电在半导体级8英寸单晶炉领域已成功实现进口替代。捷佳伟创、北京京运通、天通吉成的产品主要应用于光伏产业。

此外,长川科技在分选机、检测机领域能力较强。2016年公司拥有机台产能合计400台,产量448台,销售426台,产能利用率达112%,产销率95.9%,实现产销两旺。

4.6、材料:先易后难,冲刺大硅片

集成电路制造过程中,每一个环节都离不开化学材料,按产业链工艺环节可以将半导体材料分为晶圆制造材料和封装材料。

晶圆制造材料包括硅片、光罩、高纯化学试剂、特种气体、光刻胶、靶材、CMP抛光液和抛光垫等。

近年随着出货片数成长,中国半导体制造材料营收也由2013年230亿美元成长到2016年的242亿美元,年复合成长率约1.8%。从细项中可看出硅晶圆销售占比由2013年35%降到2016年的30%。根据拓墣产业研究院预计,2017年中国半导体材料市场,增长幅度将超过10%。

封装材料包括引线框架、封装基板、陶瓷封装材料、键合丝、包装材料、芯片粘结材料等,其中封装基板是占比最大。由于中国IC产业的快速发展,中国本土封装企业近年来呈现快速增长,带动中国半导体封装材料市场规模快速扩大,智研咨询预计中国市场半导体封装材料2017年的市场规模为352.9亿元,相比于2015年的261.3亿元,增长35.06%。

国内厂商在小尺寸硅片、光刻胶、CMP材料、溅射靶材等领域已初有成效。比如8英寸硅片领域的金瑞泓、国盛电子和有研半导体,光刻胶相关领域的江化微,靶材领域的江丰电子和阿石创,CMP抛光材料的安集微电子和鼎龙股份。

在2016年中国半导体材料十强企业中,江丰电子、有研新材、上海新阳和江化微四家为上市公司。

江丰电子:国内高纯溅射靶材的行业龙头,产品包括铝靶、钛靶、钽靶、钨钛靶等,主要应用于超大规模集成电路芯片、液晶面板、薄膜太阳能电池制造的物理气相沉积(PVD)工艺,用于制备电子薄膜材料。

有研新材:主要从事稀土材料、高纯材料和光电材料的生产和经营,子公司有研亿金是国内少有的能够生产金属靶材的企业,逐步占领了国内集成电路4-6英寸线市场的靶材,并正在进入8英寸线以上市场。

上海新阳:公司主导产品包括引线脚表面处理电子化学品和晶圆镀铜、清洗电子化学品,参股子公司上海新昇是内地唯一具备12英寸大尺寸硅片制造能力的企业,目前有效产能为2万片/月,已经实现试生产,项目的目标是在2018年6月达到15万片/月的产能。目前,公司已经与中芯国际、武汉新芯、华力微电子三公司签署了采购意向性协议,销售前景明确。

江化微:公司主要生产超净高纯试剂、光刻胶及光刻胶配套试剂等专用湿电子化学品。

大尺寸硅片国产化指日可待

除了上海新昇之外,国内还有宁夏银和、浙江金瑞泓、郑州合晶、西安高新区项目等企业计划或已开始建设12英寸大硅片的生产计划,且合计月产能超过百万片。

5.1、兆易创新:NorFlash&DRAM龙头

公司是中国唯一的存储芯片全平台公司。主要产品为NORFlash、NANDFlash及MCU,广泛应用于手持移动终端、消费类电子产品、个人电脑及周边、网络、电信设备、医疗设备、办公设备、汽车电子及工业控制设备等各个领域。

牵手合肥产投,进军DRAM领域。公司2017年10月与合肥产投签署了《关于存储器研发项目之合作协议》,将开展19nm制程工艺存储器(含DRAM等)的研发项目,预算约为180亿元人民币,目标是在2018年底前研发成功。

入股中芯国际,战略合作形成虚拟IDM。2017年11月,公司参与认购中芯国际配售股份,公司作为fabless厂与晶圆代工厂中芯国际战略合作形成虚拟IDM,在产能上将有望优先获得中芯国际的支持,从而提高公司产品的占有率。

收购思立微,形成MCU+存储+交互解决方案。2018年3月,公司收购国内市场领先的智能人机交互解决方案供应商思立微,其产品以触控芯片和指纹芯片等新一代智能移动终端传感器SoC芯片为主。本次交易将一定程度上补足公司在传感器、信号处理、算法和人机交互方面的研发技术,提升相关技术领域的产品化能力,在整体上形成完整的MCU+存储+交互系统解决方案,为上市公司进一步快速发展注入动力。

我们认为公司牵手合肥产投,进军DRAM领域;入股中芯国际,形成虚拟IDM,提高产能扩充能力;收购思立微,形成MCU+存储+交互解决方案,为上市公司进一步快速发展注入动力。建议关注。

风险提示:半导体行业景气度下降,DRAM项目发展不及预期,收购思立微协同效应不及预期。

5.2、紫光国芯:打造NAND龙头

紫光国芯是紫光集团旗下半导体行业上市公司。紫光集团有三个上市平台,分别为紫光股份、紫光国芯和ST紫学。紫光国芯主要产品包括智能芯片、特种行业集成电路和存储器芯片。

DRAM已量产。公司的DRAM存储器芯片已形成了较完整的系列,包括SDR、DDR、DDR2和DDR3,并开发相关的模组产品。目前,公司的DDR4内存模组已经开始量产并且能够长期供货。虽然目前产品产量很小,市场份额不大,但DRAM为国内稀缺,进口替代潜力空间大。此外,公司开发完成的NANDFlash新产品也已开始了市场推广。

依托长江存储打造NAND龙头。2016年12月,公司公告称紫光国芯拥有收购长江存储股权的权利;2017年7月,公司公告称长江存储的存储器芯片工厂项目投资规模较大,目前尚处于建设初期,短期内无法产生销售收入,公司认为收购长江存储股权的条件尚不够成熟,终止收购长江存储。我们认为,随着条件成熟,不排除公司重启收购的可能,届时有望成为国内NAND龙头。

我们认为公司DRAM已量产,进口替代潜力空间大;未来有望收购长江存储,成为国内NAND龙头。

5.3、圣邦股份:模拟芯片龙头

公司是国内模拟芯片龙头,专注于高性能、高品质模拟集成电路研发和销售。公司的通用模拟IC产品性能优良、品质卓越,可广泛应用于智能手机、PAD、数字电视、DVD、数码相机、笔记本电脑、可穿戴式设备、各种消费类电子产品以及车载电子、工业控制、医疗设备、测试仪表等众多领域。

募投加码电源管理类和信号链类模拟芯片。2017年6月6日,公司成功登陆深交所创业板,募集资金4.47亿元,用于电源管理类模拟芯片开发及产业化项目、信号链类模拟芯片开发及产业化项目及研发中心建设项目等。

模拟芯片市场高速增长。根据ICInsights数据显示,2017-2022年整体集成电路市场年复合增长率为5.1%。在集成电路市场的四大产品类别:模拟、逻辑、存储和微元件中,模拟芯片市场增速最高达到6.6%。

公司发展进入快车道。一方面,作为国家重点培育和发展的战略性新兴产业的支撑和基础,集成电路产业未来发展空间巨大;另一方面,公司经过多年发展,掌握了先进的模拟芯片设计与开发技术,产品品质达到世界先进水平,同时还拥有丰富的上下游资源。公司有望在未来广阔的模拟芯片行业市场抢占制高点。

我们认为公司募投加码电源管理类和信号链类模拟芯片,将受益于模拟芯片市场高速增长,公司发展进入快车道。

5.4、中芯国际:晶圆代工龙头

中芯国际是世界领先的集成电路晶圆代工企业之一,也是中国内地规模最大、技术最先进的集成电路晶圆代工企业,提供0.35微米到28纳米不同技术节点的晶圆代工与技术服务。公司之前凭藉高产能利用率推动收入和盈利双增长,目前已进入战略转型期,为下一阶段的成长准备好技术和工厂。

技术:梁孟松效应开始显现,28nm与14nm进展顺利。2017Q4营收中28nm占比已经提升至11.3%。梁孟松上任后调整更新了FinFET规划,3DFinFET工艺将锁定高性能运算、低功耗芯片应用,目前正在积极进行中。14nm则目前于2019年上半年投产,相关产品将具备更高性能、成本更低、技术导入更容易,也更容易融入设备中。

工厂:中芯南方为14nm量产做好准备。2018年1月,中芯国际增资中芯南方,持股比例变为50.1%,国家大基金和上海集成电路基金分别拥有中芯南方27.04%和22.86%的股权,分别成为第二和第三大股东,预计之后6月和12月会再次进行外部注资10亿美元。中芯南方产能就是专门为公司14nm准备,目标是产能达至每月35000片晶圆。

此外,公司营收来源越来越多样化。2017年汽车和工业应用收入比2016年收入翻番。未来成长动力包括:28nm、闪存、指纹识别传感器和电源管理芯片、汽车和工业应用等。

我们认为公司在2017年28nm产品明显放量标志着其技术及良率瓶颈期突破,28nm营收贡献将逐渐增加,未来相当长时间成为公司营收增长的主要来源。

5.5、长电科技:国内封测龙头

公司是国内半导体封装测试行业龙头企业。通过并购星科金朋,公司跻身全球半导体封装行业前三,同时形成了各具特色的七大基地。新加坡厂(SCS)拥有世界领先的Fan-outeWLB和高端WLCSP;韩国厂(SCK)拥有先进的SiP、高端的fcBGA、fcPoP;长电先进(JCAP)的主力产品有FO-WLP、WLCSP、fcBump;星科金朋江阴厂(JSSC)拥有先进的存储器封装;长电科技C3厂的主力产品有高引脚BGA、QFN产品和SiP模组;滁州厂以小信号分立器件、WB引线框架产品为主;宿迁厂以脚数较低的IC和功率器件为主。

原长电稳定增长,星科金朋快速回升。剔除收购星科金朋,近几年公司的营收、利润增长稳定。在高端领域,长电先进在全球WLCSP和Bumping的产能和技术上继续保持领先优势;在中低端领域,滁州和宿迁厂产品结构的进一步调整和产能利用率的提升。JSCK(长电韩国)得益于SIP等先进封装新产品开发进展顺利,订单回流效果显著。星科金朋已完成上海厂向无锡搬迁工作,结束两地生产运营,将大幅降低相关费用,盈利能力有望快速回升。

公司的封测龙头地位将更加稳固。一方面,通过收购,长电科技的规模优势和星科金朋的技术和客户优势实现互补,随着整合进程逐步完成,协同效应逐渐显现。另一方面,通过定增,大基金成为第一大股东,中芯国际成为第二大股东,虚拟IDM形式初露端倪,未来中芯国际和长电科技的上下游配套协同发展值得期待。全球半导体行业景气度高企和全球晶圆厂向国内转移是公司业绩增长的重要驱动力,将使得长电科技的封测龙头地位更加稳固。

我们认为公司作为国内封测龙头,原长电保持稳定增长,星科金朋整合稳步推进,与中芯国际战略合作,未来有望率先受益于行业景气度高企和晶圆厂向大陆转移,驱动公司快速发展。

5.6、华天科技:国内封测第二

公司集成电路年封装规模和销售收入均位列我国同行业上市公司第二位。公司三地布局,三地定位不同、技术不同、客户不同,形成从低端、中高端到先进封装的全覆盖。天水厂夯实传统引线框架封装,进一步发挥规模优势;西安厂主攻QFN和BGA等中高端封装,导入指纹识别、MEMS、CPU等新产品封装;昆山厂布局TSV、Bumping及FOWLP等先进封装技术。

掌握多种先进封装技术。公司通过实施国家科技重大专项02专项等科技创新项目以及新产品、新技术、新工艺的不断研究开发,自主研发出FC、Bumping、MEMS、MCM(MCP)、WLP、SiP、TSV、Fan-Out等多项集成电路先进封装技术和产品,随着公司进一步加大技术创新力度,公司的技术竞争优势将不断提升。

新建产能释放促进公司发展。公司三大募投项目到2017上半年分别完成了94.76%、98.08%和83.91%,非募投项目《FC+WB集成电路封装产业化项目》完成了98.30%,投资顺利、进展迅速,体现了公司优秀的项目把控能力。随着新建产能的释放,公司未来一段时期将继续保持强劲增长。

我们认为公司已研发出多种先进封装技术,随着新建产能释放,公司业绩有望保持强劲的增长。

5.7、扬杰科技:分立器件龙头

公司是国内分立器件IDM龙头,主要产品包括二极管、整流桥电力电子模块等半导体功率器件,主要用于汽车电子、LED照明、太阳能光伏、通讯电源、开关电源、家用电器等多个领域。

内生驱动产品不断升级。公司4寸产线产能扩产一倍,效率不断提升;6寸产线已于2017年底实现盈亏平衡,2018年底可做到第一期满产,有望持续提升盈利能力;战略布局8寸线,MOSFET产品进展顺利,最终目标实现IGBT芯片和IPM功率的模组突破。

外延驱动向上游整合。2017年12月,公司收购成都青洋电子,获得稳定外延片供应,将IDM模式再向上游扩展。成都青洋年产1200万片8英寸以下单晶硅切片、磨片和化学腐蚀片的生产线,产品质量及性能位于行业领先水平。业绩承诺实现净利润为:2018年不低于1280万元,2019年不低于1480万元。

下游需求旺盛助力公司发展。随着光伏及新能源汽车等下游行业发展迅速,功率半导体市场需求旺盛。公司在光伏及新能源汽车领域深耕多年,产线对照行业标准建立,并且建立了好良好的客户关系,有望快速导入相关产品。此外,公司战略布局高端SiC芯片及器件,未来有望打开千亿级市场空间。

我们认为公司内生驱动产品不断升级,外延驱动向上游整合青洋电子,延伸到材料领域,下游需求旺盛将助力公司发展。

5.8、北方华创:设备龙头

北方华创是由七星电子和北方微电子战略重组而成,是目前国内集成电路高端工艺装备的龙头企业。公司拥有半导体装备、真空装备、新能源锂电装备及精密元器件四个事业群,为半导体、新能源、新材料等领域提供全方位整体解决方案。公司半导体装备产品包括刻蚀设备、PVD设备、CVD设备、氧化/扩散设备、清洗设备、新型显示设备、气体质量流量控制器等。

半导体装备各产品齐头并进。氧化炉:2017年11月30日,公司自主研发的12英寸立式氧化炉THEORISO302MoveIn长江存储生产线,应用于3DNANDFlash制程,扩展了国产立式氧化炉的应用领域。刻蚀机:2016年研发出了14nm工艺的硅刻蚀机,目前正在中芯国际研发的14nm工艺上验证使用。2017年11月,研发的中国首台适用于8英寸晶圆的金属刻蚀机成功搬入中芯国际的产线。薄膜沉积设备:28nm级别的PVD设备和单片退火设备领域实现了批量出货,14nm级别的ALD,ALPVD,LPCVD,HMPVD等多种生产设备正在产线验证中。清洗机:自研的12英寸单片清洗机产品主要应用于集成电路芯片制程,2017年8月成功收购Akrion公司后,公司的清洗机产品线将得以补充,形成涵盖应用于集成电路、先进封装、功率器件、微机电系统和半导体照明等半导体领域的8-12英寸批式和单片清洗机产品线。

真空装备、锂电装备、精密元器件稳定发展。真空装备:随着新材料行业的发展,对超高温、超高压真空设备需求量的增加,目前公司已有多款产品面向新材料行业推出,已大量应用于国内、外一流企业。应用于光伏产业的单晶炉,公司进行了升级换代,装料量实现了从50KG到300KG里程碑式的跨越,市场竞争力显著提升。锂电装备:目前,公司已经为全国95%以上的锂离子电池研究院所、生产企业提供了电池制造装备,随着新能源汽车行业快速发展,锂电装备业务有望深度收益。精密元器件:近年来,通过自主创新开发的高精密高稳定金属膜固定电阻器、双极性片式钽电容器、石英晶体振荡器、石英MEMS陀螺、负载点电源模块等产品,以优异的性能获得各界客户的信赖,不断实现进口替代。

我们认为公司作为半导体设备龙头,各产品齐头并进,真空装备、锂电装备、精密元器件稳定发展。

5.9、长川科技:封测设备龙头

公司是国内半导体封测设备龙头,目前主要产品有两类,分别是测试机和分选机。

产品高性价比赢得客户。公司产品主要面向下游封装测试企业、晶圆制造企业、芯片设计企业和测试代工厂等。在优异性能和高性价比等优势的加持下,已获得国内外客户的使用和认可。传统客户包括长电科技、华天科技、通富微电、士兰微、华润微电子等,国际龙头大厂日月光亦开始导入公司测试和分选设备,将为公司有效打开国际市场。

三大能力持续加强。1)新产品生产能力:积极拓展探针台、数字测试机等一系列新产品,深化客户服务的广度,打造完整的解决方案体系;2)新技术研发能力:面向未来五大方向开展前沿研究,包括模拟IC测试技术、高压大功率测试技术、数字测试技术、多类别自动测试技术、多维度高速高精定位技术。通过以上技术的研发,公司将在测试技术演进的高速化、一体化、智能化过程中,优先卡位前沿发展方向,不断拓宽设备的测试范围;3)积极扩展技术和销售服务团队,保证客户精准覆盖,快速提升响应能力。

我们认为国内半导体产业处于加速发展阶段,晶圆厂建设大幅提速,封测国产化进程加快,资本开支规模放大,公司的封测设备国产替代空间大。同时,公司未来将渗透更多的测试类相关产品,包括晶圆检测用探针台,封装用倒装机、预封装切割机等新设备,发展空间广阔。

5.10、江丰电子:溅射靶材龙头

公司是国内溅射靶材龙头。公司主要产品为集成电路芯片制造用超高纯金属材料及溅射靶材,填补了国内的技术空白,打破了美、日跨国公司的垄断。溅射靶材产品包括铝靶、钛靶、钽靶、钨钛靶等,主要应用于超大规模集成电路芯片、液晶面板、薄膜太阳能电池制造的物理气相沉积(PVD)工艺,用于制备电子薄膜材料。

靶材产品质量卓越,获台积电认可。公司从2009年开始和台积电建立合作关系,持续向台积电6寸、8寸和12寸晶圆厂供应靶材。公司坚持技术创新和产品升级,持续向台积电供应优质的半导体溅射靶材,依靠卓越的品质和服务,依托紧密的技术交流,与台积电建立了相互信任、合作共赢的良好战略关系,不断扩大在台积电的市场份额。公司在台积电2017年第三季度靶材供应商品质评比中位列第一名。

国产化CMPPad赢得首张订单。CMP研磨垫具有产品验证周期长、国外寡头垄断等特点。2016年公司与美国嘉柏合作CMPPad项目,并成功取得了本土主流芯片生产厂商的认证。2017年11月,公司赢得了第一张国产CMP研磨垫的订单。

我们认为公司作为国内溅射靶材龙头,靶材产品质量卓越获台积电认可,国产化CMPPad赢得首张订单,有望推动公司业绩增长。建议关注。

风险提示:半导体行业景气度下降,公司CMPPad产品推进不及预期。

5.11、上海新阳:材料龙头

公司是国内半导体材料龙头企业。公司主要产品包括引线脚表面处理电子化学品和晶圆镀铜、清洗电子化学品,可广泛应用于半导体制造、封装领域。

持续巩固半导体材料龙头地位。公司逐步形成了其在晶圆级封装领域材料和设备的配套优势。在传统封装领域,公司晶圆划片刀产品从2017年开始逐步放量,已经实现盈利。在半导体制造领域,晶圆化学品持续放量继续保持高速增长,晶圆化学品已经进入中芯国际、无锡海力士、华力微电子、通富微电、苏州晶方、长电先进封装等客户,其中在芯片铜互连电镀液产品方面已经成为中芯国际28nm技术节点的Baseline,无锡海力士32nm技术节点的Baseline;用于晶圆制程的铜制程清洗液和铝制程清洗液也都分别开始供货。此外,已经被***积体电路制造公司(TSMC)列入合格供应商名录,并正在进行产品验证。在IC封装基板领域,上海新阳的电镀铜添加剂产品仍处于少量供货阶段。另外,参股子公司新阳硅密(上海)半导体技术有限公司的晶圆湿制程设备已经进入中芯国际等客户。

大硅片项目值得期待。公司参股子公司上海新昇半导体科技有限公司300mm大硅片项目,一期15万片/月的产能,预计在2018年年中实现达产。总规划产能为60万片/月,预计在2021年实现满产。公司从2017年第二季度已经开始向中芯国际等芯片代工企业提供样片进行认证,挡片、陪片、测试片等产品已实现销售。目前,公司已经与中芯国际、武汉新芯、华力微电子三家公司签署了采购意向性协议,如果大硅片进展顺利,将有望成为公司业绩增长的重要驱动力。

我们认为公司在半导体材料领域龙头地位显著,各项产品进展顺利;大硅片项目值得期待,将有望成为公司业绩增长的重要驱动力。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    445

    文章

    47476

    浏览量

    407881
  • 集成电路
    +关注

    关注

    5304

    文章

    10547

    浏览量

    352389
  • 半导体
    +关注

    关注

    327

    文章

    24172

    浏览量

    201057

原文标题:国产芯片产业究竟如何,这篇分析最全!

文章出处:【微信号:iawbs2016,微信公众号:宽禁带半导体技术创新联盟】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    全球功率半导体市场迅猛增长:消费电子产品与新兴技术共同驱动

    除了智能手机,通信设备、计算机、娱乐系统和家用电器等其他消费电子产品也对功率半导体市场产生了深远影响。
    的头像 发表于 03-07 16:35 473次阅读

    助力电子产业高质量发展,华秋电子设计与制造技术研讨会成功举办

    、方案开发、研发打样、量产交付,加速电子产品研发创新,给行业带来“高品质,短交期,高性价比”的优质服务,为中国电子信息产业创新与发展提供助力!
    发表于 11-24 16:50

    华秋亮相第五届模拟半导体大会,助力电子行业高质量发展

    来自国内模拟半导体厂商及专家进行技术和产品分享。 作为全球领先的产业数字化智造平台,深圳华秋电子有限公司(简称华秋)受邀参加了本次研讨会。 模拟芯片应用市场广阔,下游覆盖通信、汽车和
    发表于 09-15 16:50

    安规电容出现后对电子产品有哪些影响呢?

    随着科技的不断进步,电子产品已经成为了我们生活中不可或缺的一部分。而在这些电子产品中,安规电容是一种重要的电子元件,在电子产品起到重要作用。
    的头像 发表于 09-06 17:44 562次阅读

    电子产品中使用的的铜材料有何讲究?

    电子产品中的导体连接部位的金属弹片95%是使用铜材质(黄铜、磷铜、红铜,洋白铜、铍铜)
    的头像 发表于 08-30 11:42 460次阅读

    电子产品故障类型有哪些?

    电子产品故障类型有哪些?  随着科技不断发展,电子产品已经成为了我们日常生活中不能缺少的一部分,我们使用电子产品的频率也越来越高。然而,即便是最优质的电子产品也很难避免系统故障或硬件故
    的头像 发表于 08-29 16:46 1953次阅读

    电子产品防水测试仪

    电子产品防水测试仪是一种专门用于检测电子产品防水性能的设备。随着电子产品的日益普及和多样化,其防水性能已经成为消费者关注的重要因素之一。因此,防水测试仪在电子产品设计、生产和质量控制过
    的头像 发表于 08-09 10:32 357次阅读
    <b class='flag-5'>电子产品</b>防水测试仪

    晶振技术与电子产品有何关系

    电子产品与晶振技术之间的关系密不可分。几乎所有电子产品都需要晶振来提供精确的时间和频率控制,从而确保设备的稳定性和可靠性。
    的头像 发表于 06-18 17:35 369次阅读

    2023年中国半导体分立器件销售将达到4,428亿元?

    分立器件行业概况 半导体分立器件是半导体产业的基础及核心领域之一,其具有应用领域广阔、高成品率、特殊器件不可替代等特性。 从市场需求看,分立器件受益于物联网、可穿戴设备、智能家居、健康
    发表于 05-26 14:24

    [3.1.1]--电子产品设计的方法与步骤

    电子产品设计
    jf_75936199
    发布于 :2023年05月15日 23:51:31

    飞凌嵌入式受邀参加能源电子产业政策华北片区宣贯会

    ,以技术研发创新为主导,以客户实用化,产品化为目标,把握嵌入式行业的前沿发展需求,利用核心技术为客户提供稳定、可靠、功能优异的高品质产品,合力推动能源电子产业高质量发展。
    发表于 05-11 15:33

    探秘半导体封装技术:三大工艺如何塑造未来电子产业

    随着电子产品的迅速发展,半导体封装技术已经成为整个半导体产业的重要组成部分。从早期的简单封装到现代高密度、高集成度的封装,半导体封装技术在不
    的头像 发表于 04-25 16:46 773次阅读
    探秘<b class='flag-5'>半导体</b>封装技术:三大工艺如何塑造未来<b class='flag-5'>电子产业</b>

    喜讯!华秋电子荣获深圳市半导体行业协会优秀合作奖

    常军锋先生、监事长朱小安先生分别进行了产业总结报告、深半协工作总结汇报。随后,在现场的颁奖仪式上,华秋电子凭借专注的电子产业一站式服务能力,以及与深圳市半导体行业协会全方位、多领域的深
    发表于 04-03 15:28

    华秋电子积极参与两场展会,助力电子产业

    流连。基于电子产业链一站式服务,华秋电子致力于全面打通电子产业上、中、下游,形成电子产业链闭环生态,给行业带来“高品质,短交期,高性价比”的一站式服务平台,为中国
    发表于 03-31 13:48

    助力电子产业高质量发展,华秋在行动

    流连。基于电子产业链一站式服务,华秋电子致力于全面打通电子产业上、中、下游,形成电子产业链闭环生态,给行业带来“高品质,短交期,高性价比”的一站式服务平台,为中国
    发表于 03-30 18:21