0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

数字后端基本概念介绍

数字后端IC芯片设计 来源:未知 作者:李建兵 2018-03-16 11:10 次阅读

今天要介绍的数字后端基本概念是boundary cell,也被称为endcap Cell。Endcap是一种特殊的标准单元。在后端物理设计中,除了与,非,或等一些常见的标准单元外,还有一些特殊的物理单元(physical cell),它们通常没有逻辑电路,不存在与netlist当中,但是对整个芯片的运行,稳定却起着举足轻重的作用。那endcap cell就是其中一种,它俗称为拐角单元,作用是确保每个nwell都是nwell enclosed,类似一个封闭环。主要加在row的结尾(两边都要加) , 以及memory 或者其他block的周围包边,如下图所示:

使用方法:

命令

create_boundary_cells

使用前需要指定放在left_boundary,right_boundary,top_boundary,bottom_boundary等cell,可以查询所用工艺库的工艺手册,如下图所示:

create_boundary_cells \

-left_boundary_cell $left_boundary_cell \

-right_boundary_cell $right_boundary_cell \

-top_boundary_cells $top_boundary_cells \

-bottom_boundary_cells $bottom_boundary_cells \

-top_right_outside_corner_cell $top_XXX_cell \

-top_left_outside_corner_cell $top_XXX_cell \

-bottom_right_outside_corner_cell $bottom_XXX_cell \

-bottom_left_outside_corner_cell $bottom_XXX_cell \

-bottom_left_inside_corner_cells $bottom_XXX_cells \

-bottom_right_inside_corner_cell $bottom_XXX_cell \

-top_left_inside_corner_cell $top_XXX_cell \

-top_right_inside_corner_cell $top_XXX_cell \

-prefix "ENDFILL" \

-separator "_"

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 数字后端
    +关注

    关注

    0

    文章

    10

    浏览量

    3023

原文标题:数字后端基本概念介绍

文章出处:【微信号:IC_Physical_Design,微信公众号:数字后端IC芯片设计】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    数字后端设计工程师主要干什么?

    数字后端,顾名思义,它处于数字IC设计流程的后端,属于数字IC设计类岗位的一种。在IC设计中,数字后端所占的人数比重一直是最多的,而且随着芯
    发表于 01-13 06:31

    求大佬分享数字后端的教材或教程

    求大佬分享数字后端的教材或教程
    发表于 06-21 06:47

    招聘数字后端工程师

    招聘数字后端工程师,北京、天津、西安、苏州、成都、无锡
    发表于 10-26 15:11

    数字后端设计流程

    数字后端流程 1. 数据准备。对于 CDN 的 Silicon Ensemble而言后端设计所需的数据主要有是Foundry厂提供的标准单元、宏单元和I/O Pad的库文件,它包括物理库、时序库及网表库,分别以.lef、
    发表于 10-28 10:31 39次下载

    数字后端关于Litho Grid基本概念介绍详解

    数字后端基本概念介绍——Litho Grid,今天要介绍数字后端基本概念是Litho Grid
    的头像 发表于 12-14 17:05 4519次阅读

    数字后端基本概念介绍——Placement Blockage的9中人为约束

    今天要介绍数字后端基本概念是Placement Blockage. Placement blockage是大家在floorplan时经常用的一种人为约束。可以有效控制区域的density。从而避免
    的头像 发表于 01-02 09:52 9215次阅读

    介绍数字后端概念--Shape Blockage

    今天我们主要介绍数字后端概念是Shape Blockage(形状阻碍物)。主要是用于在Design Planning时,阻碍工具在shape blocks时,在该处放置block。平时较少使用,如下图所示,工具不会在红字区域摆
    的头像 发表于 01-29 10:27 6299次阅读

    数字后端G cell概念介绍

    今天我们要介绍概念是G cell,全称global routing cells。 同样的它也是我们定义的一种grid, G cell grid对routing过程中起着相当重要的作用。
    的头像 发表于 02-02 17:14 7665次阅读
    <b class='flag-5'>数字后端</b>G cell<b class='flag-5'>概念</b><b class='flag-5'>介绍</b>

    浅谈数字后端工程师的工作

    数字后端,顾名思义,它处于数字IC设计流程的后端,属于数字IC设计类岗位的一种。 在IC设计中,数字后端所占的人数比重一直是最多的,而且随着
    的头像 发表于 02-26 16:06 1.3w次阅读

    眼图基本概念介绍.ppt

    眼图基本概念介绍.ppt
    发表于 11-08 15:05 3次下载

    时序设计基本概念之collection

    今天我们要介绍的时序分析基本概念是collection。代表的是一个集合,类似指针。在数字后端工具中,我们可以通过命令get_*来寻找想要的Object。这些get_*命令返回的就是collection。不同类型的object对
    的头像 发表于 11-26 10:30 3334次阅读

    数字后端——电源规划

    数字IC后端设计电源规划的学习
    发表于 01-05 14:54 13次下载
    <b class='flag-5'>数字后端</b>——电源规划

    什么是数字后仿?浅谈芯片数字后仿的那些事

    这是相对于数字前仿来说的。从概念上来说,数字验证包含两方面的内容,数字前仿和数字后仿。
    的头像 发表于 03-15 14:51 6409次阅读

    数字后端基本概念介绍—FinFET Grid

    今天要介绍数字后端基本概念是FinFET Grid,它也是一种设计格点。介绍该格点前,我们首先来了解一下什么是FinFET技术。
    发表于 07-12 17:31 794次阅读
    <b class='flag-5'>数字后端</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>—FinFET Grid

    模拟前端和数字后端哪个好 模拟前端和数字后端的区别

    模拟前端和数字后端都是电子系统设计中的重要环节,它们各自扮演着不可或缺的角色,难以简单地进行优劣比较。
    的头像 发表于 03-16 15:09 302次阅读