0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

8421BCD码转换成5421BCD码

PoisonApple 来源:网络整理 2018-03-02 13:48 次阅读

5421BCD码

5421BCD码,是二—十进制代码(BCD码)的一种;二—十进制代码(BCD码)的一种;

5421BCD码各位的权依次为5421,也是有权码。其显著特点是最高位连续5个0后连续5个1。当计数器采用这种编码时,最高位可产生对称方波输出。5421BCD码的编码方案不是唯一的。

8421BCD码

计算机内毫无例外地都使用二进制数进行运算,但通常采用8进制和十六进制的形式读写。对于计算机技术专业人员,要理解这些数的含义是没问题,但对非专业人员却不那么容易的。由于日常生活中,人们最熟悉的数制是十进制,因此专门规定了一种二进制的十进制码,称为BCD码,它是一种以二进制表示的十进制数码

8421BCD码转换成5421BCD码

8421BCD码转换成5421BCD码

一、课程设计的目的

1、初步掌握电子线路的试验、设计方法。即学生根据设计要求和性能参数,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能指标。

2、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。

二、课程设计的题目

将8421BCD码转换成5421BCD码的电路设计

三、设计内容及要求

设计一个将8421BCD码转换成5421BCD码的电路,要求使用以下

两种方法实现。

(1)用基本逻辑门实现。

(2)用其他的集成电路芯片实现。 采用数码管显示变换成的5421BCD码;

设置一个复位按钮和一个启动按钮。

8421BCD码转换成5421BCD码

四、设计步骤

1、理论设计:结合教材《电子技术基础》(数字部分·第五版)、网络、图书馆相关资料对课题进行理论设计与验证;

2、学习使用Multisim软件,并在Multisim软件上进行该课题的电路设计与测设

3、 编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

五、方案设计与论证

1、 8421码转换成5421码原理框图

控制模块 功能实现模块 数码管

2、BCD8421码与5421码区别

8421是最常用的二进制码,叫这个是因为四位8421码时,从左到右每个\代表的十进制数分别是\、\、\、\如下:

\为十进制 \ \为十进制 \ \为十进制 \ \为十进制 \5421码与此相同,每位一代表的是十进制的\、\、\、\即:\为十进制 \ \为十进制 \ \为十进制 \ \为十进制 \5421码一大特点可以直接按权求对应的十进制数。 比如1011转十进制,可以按\求。

设计的方案有以下两种

方案一:用基本逻辑门实现

根据8421BCD码与5421BCD的区别与联系,列出真值表,以四位8421码作为输入,并用四个开关控制作为不同的8421码输入,以四位5421码作为电路的四个输出,根据真值表,根据真值表画出卡诺图,并根据卡诺图写出输出函数的逻辑表达式,根据逻辑表达式,利用基本的逻辑门画出能够实现将842码转换成521码的逻辑电路图,并将结果在数码管上显示出来; 方案二:用集成电路芯片实现

根据8421BCD码与5421BCD码的联系与区别,可以发现它们之间存在一定的数量关系。当十进制数少于等于4时,5421BCD码与8421BCD码相同;当十进制数大于等于5时,5421BCD码可以通过8421BCD码简单的加3(即0011)而得到。因此,可以选用一个四位加法器7483实现8421BCD码转换成5421BCD码。 六、设计原理 1、部分元器件介绍

(1)全加器

全加器是一种由被加数、加数和来自低位的进位数三者相加的运算器。基本功能是实现二进制加法。

全加器的功能表 表3.5.1

输 入 CI A B 0 0 0 0 0 1 0 1 0 0 1 1 输 出 S CO 0 0 1 0 1 0 0 1 输 入 CI A B 1 0 0 1 0 1 1 1 0 1 1 1 输 出 S CO 1 0 0 1 0 1 1 1

逻辑表达式:

S?A?B?CI

CO??A?B?CI?AB

目前普遍应用的全加器的集成电路是74LS283,它是由超前进位电路构成的快速进位的4位全加器电路,可实现两个四位二进制的全加。其集成芯片引脚图如图3.5.1所示。加进位输入C0和进位输出CO主要用来扩大加法器字长,作为组间行波进位之用。由于它采用超前进位方式,所以进位传送速度快,主要用于高速数字计算机、数据处理及控制系统

VCC B2 A2 S2 A3 B3 S3 CO 74LS283 S1 B1 A1 S0 A0 B0 CI GND

若某一逻辑函数的输出恰好等于输入代码所表示的数加上另一常数或另一组输入代码时,则用全加器实现非常方便。

(2)数码显示译码

(a) 共阴连接(“1”电平驱动) (b) 共阳连接(“0”电平驱动)图3.5.5 LED数码管

a、七段发光二极管LED)数码管

LED数码管是目前最常用的数字显示器,图3.5.5为共阴管和共阳管的电路和两种不同出线形式的引出脚功能图。

一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

2、用基本逻辑门实现8421BCD码转换成5421BCD码

(1) 根据题意列出真值表

CDCDCDCDAB00 01 11 10AB00 01 11 10AB00 01 11 10AB00 01 11 100000000011110111101111××××1001101111××××10111××××10111××××1011Y3××1××Y2××Y1××Y0

(2)用卡诺图化筒

8421 码A B C D0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 05421 码Y Y Y Y3 2 1 00 0 0 00 0 0 10 0 1 00 0 1 10 1 0 08421 码A B C D0 1 0 10 1 1 00 1 1 11 0 0 01 0 0 15421 码Y Y Y Y3 2 1 01 0 0 01 0 0 11 0 1 01 0 1 11 1 0 0- 5 -

由卡诺图化简 可得如下逻辑函数表达式:

?Y3??Y2??Y1?Y?0?A?BC?BD?AD?BCD?AD?BC?CD?AD?ABD?BCD

(3)根据逻辑函数表达式画出逻辑图

3、用集成电路芯片实现8421BCD码转换成5421BCD码

设X3X2X1X0和Y3Y2Y1Y0分别为8421BCD码、5421BCD码,将8421BCD码与5421BCD码作一对比可知,当8421码≤4时,两者相同;当8421码》 4时, 5421码=8421码+3。根据以上分析设置一控制信号C。为得到最简表达式,进行卡诺图化简,如图所示。化简结果为: C=X2X1+X2X0+X3

要求控制信号C=0时,8421码+0,控制信号C=1时,8421码+3,由4位加法器74283和逻辑门实现的转换电路。

七、Multisim电路仿真

用基本逻辑门实现8421BCD码转换成5421BCD码

用集成电路芯片实现8421BCD码转换成5421BCD码

LED数码管对应的真值

输入 D C B A 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 输出 Y3 Y2 Y1 Y0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • BCD码
    +关注

    关注

    1

    文章

    52

    浏览量

    18126
  • 8421码
    +关注

    关注

    1

    文章

    8

    浏览量

    3958
收藏 人收藏

    评论

    相关推荐

    常用编码(BCD编码、余3、格雷反射、奇偶校验)

    常用编码1、BCD编码    例 写出十进数563.97D对应的8421BCD。     563.97D=0101 0110 0011 . 1001 01118421BCD   例 
    发表于 04-11 10:00

    什么是BCD8421、余三、格雷

    的是8421BCD,无权用得较多的是余三和格雷,我们通常所说的BCD
    发表于 11-03 17:36

    用FPGA设计将BCD转换成二进制数电路

    用FPGA设计将BCD转换成二进制数电路
    发表于 03-11 20:37

    用FPGA 怎么实现BCD转换成二进制啊!

    用FPGA 怎么实现BCD转换成二进制啊!新手求指教PCB打样找华强 http://www.hqpcb.com 样板2天出货
    发表于 03-15 12:00

    关于BCD转换的问题

    if((TIME[SetPlace]&0x0f)>9)//换成BCD。{TIME[SetPlace]=TIME[SetPlace]+6;}BCD代码。Binary-Coded
    发表于 03-08 11:59

    关于两位十进制数转换成二进制数的仿真 求助啊

    求助,不怎么懂这题该怎么做。求教。用两片四位全加器74283和必要的逻辑门设计一个数制转换电路,实现将输入的两位十进制数转换成二进制数,十进制数的输入采用8421BCD来表示。用mu
    发表于 07-01 20:13

    BCD译码的实现_移位加3算法

    等于5,则对该值加3 4、继续左移的过程直至全部移位完成举例:将十六进制0xFF转换成BCD码本模块的信号列表如下:信号名I/O位宽说明rst_nI1系统复位信号,低电平有效clkI1系统工作时钟
    发表于 05-11 16:21

    C语言中十进制转8421BCD是用的什么方法?

    今天FPGA的同事问我C语言中十进制转8421BCD是用的什么方法?第一时间我在想除了除10取余还能有什么办法?过了一会儿,突然想起有没有办法从移位上解决这个问题呢?于是乎就看到这个链接。然后就
    发表于 07-15 09:21

    8421BCD与二进制原码的相互转换

    8421BCD与二进制原码的相互转换。九层妖塔 起于垒土【蓝桥杯】—{模板Template}—{Part7:DS18B20温度传感器}一、基本模板1、`头文件`● 改编自国信长天蓝桥杯官方蓝皮书
    发表于 01-17 07:13

    DS1302的BCD相关资料推荐

    就是10,0xA1不合法BCD和10进制转换BCD转换为十进制DEC:DEC=
    发表于 01-19 06:51

    什么是BCD

    文章目录什么是BCD ?什么是BCD ?在日常生产生活中用的最多的数字是十进制数字,而单片机系统的所有数据本质上都是二进制的,所以聪明的前辈们就给我们创造了
    发表于 01-20 08:08

    在FPGA中实现一种二进制转BCD的电路设计

    字逻辑设计课程中,我们已经学过了BCD的相关知识,它用4位二进制数来表示1位十进制数中的09,是二进制编码的十进制代码,常见的BCD8421B
    发表于 07-12 16:41

    从RTC芯片读取出来的BCD时间如何转换成秒?

    两个BCD时间都转换成1970年1月1日以来的秒数,然后就方便比较了。不知道有没有更简单的方法?另外如何转换到1970年1月1日以来的秒数? 注意,BCD
    发表于 11-06 06:26

    常用编码(BCD编码、余3码、格雷反射码、奇偶校验码)

    常用编码1、BCD编码    例 写出十进数563.97D对应的8421BCD码。     563.97D=0101 0110 0011 . 1001 01118421BCD   例 写出
    发表于 09-19 11:23 8353次阅读

    余3码至8421BCD码的转换_8421BCD转换成余3码

    二进制编码的十进制数,简称BCD码。这种方法是用4位二进制码的组合代表十进制数的0,1,2,3,4,5,6 ,7,8,9 十个数符。由8421码加3后形成的余3码是一种BCD码,它是由8421
    的头像 发表于 03-02 09:38 18.6w次阅读
    余3码至<b class='flag-5'>8421BCD</b>码的<b class='flag-5'>转换</b>_<b class='flag-5'>8421BCD</b>码<b class='flag-5'>转换成</b>余3码