0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

两种测量正弦波的频率的方法分享

电子工程师 作者:工程师陈翠 2018-06-18 15:00 次阅读

最近一直尝试各种方法测量一个正弦波的频率,可是都不太理想,老差几个hz,尤其中频,现在先将自己的方法供大家研讨。

第一种方法:

比较笨的方法,使用了回调函数,但是要设一个变量判断,是否符合条件。

#define F_CPU sysclk_get_main_hz()

#include

uint32_t frequence;

static void my_frq_test_callback(void)

{

if(frequence》100)

{

//如果想看结果的话,可以设置一个断点

asm(“nop”);

PORTA.INT0MASK=~PIN1_bm;

PORTA.INTCTRL=PORT_INT0LVL_OFF_gc;

tc_write_clock_source(&TCC0,TC_CLKSEL_OFF_gc);

/*这里不用tc_disable(&TCC0),好像定时器也关掉了,再次使用时,必须使能定时器,tc_enable(&TCC0) ,然后再用tc_write_clock_source(&TCC0, TC_CLKSEL_DIV1_gc);启动定时器就行了*/

frequence=0;

}

}

int main(void)

{

sysclk_init();

pmic_init();

//这里的IOPORT_PULL_UP加上后,不知道为什么会先产生个中断,也请分析一下

ioport_configure_pin(IOPORT_CREATE_PIN(PORTA,1),IOPORT_DIR_INPUT|IOPORT_BOTHEDGES|IOPORT_PULL_UP);

//这里的定义不知道能否加到上面的定义中,请给点建议

PORTA.INT0MASK=PIN1_bm;

PORTA.INTCTRL=PORT_INT0LVL_MED_gc;

tc_enable(&TCC0);

tc_set_wgm(&TCC0, TC_WG_NORMAL);

tc_write_period(&TCC0,40000);

tc_set_overflow_interrupt_callback(&TCC0, my_frq_test_callback);

tc_set_overflow_interrupt_level(&TCC0, TC_INT_LVL_LO);

cpu_irq_enable();

do

{}while(1);

}

ISR(PORTA_INT0_vect)

{

if(frequence==0)

{

tc_write_clock_source(&TCC0, TC_CLKSEL_DIV1_gc);

}

else

{

frequence++;

}

}

第二种方法:

用2个定时器,因为定时器的period的值是uint16_t的,如果超限,会引起程序工作不正常,所以用2个定时器解决一下,第二个定时器一第一个定时器的溢出为时钟信号,没有用到回调函数,简单代码如下:#define F_CPU sysclk_get_main_hz()

#include

uint32_t frq;

int main (void)

{

/* Insert system clock initialization code here (sysclk_init())。 */

board_init();

pmic_init();

sysclk_init();

//定义管脚中断

PORTA.DIRCLR=PIN1_bm;

PORTA.PIN1CTRL=PORT_ISC_BOTHEDGES_gc;//|PORT_OPC_PULLUP_gc 此处还是不知道用不用上拉

PORTA.INT0MASK=PIN1_bm;

PORTA.INTCTRL=PORT_INT0LVL_MED_gc;

//设置及使能事件

sysclk_enable_module(SYSCLK_PORT_GEN, SYSCLK_EVSYS);

EVSYS.CH0MUX = EVSYS_CHMUX_TCC0_OVF_gc;

//TCC0为第一个定时器,它的溢出提供给TCD0做为事件时钟

tc_enable(&TCC0);

tc_enable(&TCD0);

tc_set_wgm(&TCD0,TC_WG_NORMAL);

tc_set_wgm(&TCC0,TC_WG_NORMAL);

//因为系统时钟用的是内部2M的时钟,除以50后,一个是好算事件,一个是最接近时钟溢出的period,period不能超过65535,能有别的好方法 //也希望能指点一下

tc_write_period(&TCC0,sysclk_get_main_hz()/50);

tc_write_period(&TCD0,1000);

tc_set_overflow_interrupt_level(&TCC0,TC_INT_LVL_LO);

tc_enable_delay(&TCD1);

tc_write_clock_source(&TCD0,TC_CLKSEL_EVCH0_gc) ;

cpu_irq_enable();

do

{

} while (tc_is_overflow(&TCD0)==0);

frq/=4;

//可以在这里设置一个断点看结果,应该在后面对frq置0,方便后面的程序调用,可是如果后面我把frq置0,此时就看到的值也为0,也希望给点 //建议

asm(“nop”);

/* Insert application code here, after the board has been initialized. */

do

{

} while (1);

}

ISR(PORTA_INT0_vect)

{

asm(“nop”);

if(frq==0)

{

tc_write_clock_source(&TCC0,TC_CLKSEL_DIV1_gc);

}

frq++;

}

以上就是2种测量方法的代码,第二个方法比第一个要快。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 正弦波
    +关注

    关注

    11

    文章

    561

    浏览量

    54277
收藏 人收藏

    评论

    相关推荐

    有什么办法能用STM32F407进行正弦波信号的频率测量

    请问有什么办法能用STM32F407进行正弦波信号的频率测量,麻烦具体一点,万分感谢
    发表于 03-28 07:57

    正弦波峰值测量

    本帖最后由 gk320830 于 2015-3-7 22:56 编辑 正弦波峰值测量,如何测量峰值在20MV---2v的正弦波的峰值。频率
    发表于 08-20 15:37

    怎么测正弦波的峰值?

    请教怎样设计一个电路测出正弦波的峰值,之前用的是用AD8361芯片来测量,现在要测4路,这个芯片太贵了。正弦波频率 几十K到1M Hz,峰值3V左右。
    发表于 12-04 13:49

    stm32 怎样测量正弦波频率

    stm32 怎样测量正弦波频率?
    发表于 08-08 10:46

    ADC 采样市电(220V)的波形 ,能出现 50HZ 的正弦波吗 ? 如何连接?

    片机的GND,这样连接ADC照样有输出值, 然后分别画出 3 个波形 , a的波形,b的波形,(a-b)的波形,这3个波形也不是正弦波, 波形很瘦 , 很尖 , 但都是全频率肯定大于 50HZ。我的问题有2个:第一、我这2个
    发表于 09-29 17:09

    正弦波频率测试

    如何设计一个电路测试正弦波频率
    发表于 04-03 18:05

    单频测量正弦波相位的原理是什么?

    请教各位,单频测量 正弦波信号的相位的原理是什么?其测量结果的范围是什么? 正负一百八十度吗?谢谢!
    发表于 10-02 15:16

    【连载笔记】信号完整性-EMI与频域、正弦波、带宽与上升时间

    都可由正弦波的组合完全且惟一地表述2。任何频率不同的正弦波都是正交的3。正弦波有精确的数字定义4。
    发表于 12-01 09:55

    请问有什么办法能精确测量51单片机正弦波频率

    如何用51单片机精确测量正弦波频率
    发表于 04-04 04:47

    正弦波信号发生器的阻抗测量设计

    阻抗测量通常是向被测对象注入微小的正弦电流信号,同时通过测量电压信号,以获取相关的电阻抗信息。系统不仅要求正弦波信号波形失真小、幅值稳定,而且必须具有
    发表于 07-19 07:36

    如何用FPGA测量数字正弦波频率

    如何用FPGA测量数字正弦波频率
    发表于 01-11 22:27

    求一基于DSP数字振荡器产生移相正弦波的设计方法

    本文利用DSP技术,通过数值迭代方法,即用DSP数字振荡器的实现原理获得正弦波信号。通过仿真,硬件实现,能得到设定参数的正弦波输出,
    发表于 04-22 06:33

    利用stm32测量正弦波相位差

    利用stm32测量正弦波相位差,有三主要思路:路直接捕获信号直接得到时间差:即将正弦波
    发表于 08-17 06:58

    用PWM产生正弦波方法

    PWM产生正弦波的要点如下:1、PWM频率(F_PWM)与正弦波频率(F_SIN)之间的对应关系与采样点数(S_NUM)有着密切的关系,
    发表于 02-09 06:26

    如何放大正弦波频率与幅度?

    怎么将一个频率为20-30KHz,输出电压幅度峰峰值为3V的正弦波转化成一个频率为250KHz,输出电压幅度峰峰值为8V的正弦波
    发表于 10-18 10:20