0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

介绍系统接口sdc

数字后端IC芯片设计 2018-02-03 14:34 次阅读

今天要介绍的基本sdc是系统接口sdc。主要包括set_drive,set_driving_cell, set_input_transition, set_load这四条命令,都是和端口上的驱动负载有关.

set_drive:设置输入端口或者双向端口的电阻值,主要是为了更加精确的估计输入电路的延迟,我们需要知道信号到达输入端口的transition time,set drive使用一个确定的值来估计输入端的输入电阻,从而得到输入端口的延迟,该值越小,驱动能力越强。

例:set_drive 2.0 [get_ports in1]

注意:不能使set_drive值为0,这样输入就具有无限大的驱动能力,意味着transition time为0.

set_driving_cell:这个约束其实跟set_drive实现的是同一个功能,指使用设计库中的某一个单元来驱动输入端口,然后按照该单元的输入电阻来计算tranition time,从而得到输入端口的延迟。通常要比set_drive使用更多,一般用在block level上, 因为会考虑OCV等因素,会更加准确一点。

例:set_driving_cell-lib_cell AND -from_pin B -pin Y IN1

set_input_transition:设定输入端口的transition time,一般用于chip io pad约束,因为Pad上电压都比较高,对应的capacitance和transition也比较大,没法用driving cell.

例:set_input_transition 0.8 [get_ports DATA_IN*]

set_load:设置输出电路的负载。由于外部电路的负载将会影响到接到端口上单元的延迟,因此需要对端口的带负载能力做限制。为了比较精确地计算出输出电路的延迟,我们需要知道输出电路的所有负载。电路负载电容越大,延迟时间越小。

例:set_load 1.5 -min -pin_load [get_ports in1]

注意:不能使负载电容为0,这样输出端口就具有无穷大的驱动能力

介绍系统接口sdc

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 系统接口
    +关注

    关注

    0

    文章

    6

    浏览量

    10397
  • SDC
    SDC
    +关注

    关注

    0

    文章

    46

    浏览量

    15301

原文标题:时序分析基本概念介绍<系统接口sdc>

文章出处:【微信号:IC_Physical_Design,微信公众号:数字后端IC芯片设计】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    时序分析的设计约束(SDC

    使用SDC命令create_clock创建时钟,时钟周期20,占空比50%的时钟信号;
    的头像 发表于 11-08 09:12 5750次阅读

    Sunlord SDC***C系列和SDC***Q系列有何差别?

    Sunlord SDC***C系列和SDC***Q系列有何差别?
    发表于 10-16 20:24

    ETD第14期:SDR源同步接口时序约束方法

    。本次沙龙主要介绍怎样通过Quartus® II软件中的TimeQuest时序分析器来约束并分析单倍数据速率源同步接口。会议焦点  1、源同步接口相对于公共时钟系统
    发表于 12-31 14:21

    SDC-CHINO DQ-100炉膛温度显示表

    SDC-CHINO DQ-100炉膛温度显示表 SDC-CHINO DQ-100炉膛温度显示表 SDC-CHINO DQ-100炉膛温度显示表详询请致电 业务部: *** 吴经理工作Q
    发表于 12-30 10:57

    霍尔开关SDC1211,SDC1215,SDC1177,SDC1181,SDC1182,SDC1183应用于TWS,筋模枪,流量传感器,开关门检测等

    SDC1211特点  全极性 极低的功耗设计  工作电压范围:2.4V~5V  输出方式:CMOS输出 斩波放大器设计,对因工艺、工作温度和机械应力产生的噪声和失调敏感度低  不区分磁场
    发表于 04-26 13:57

    任何一个适用于ESP8266的MMC/SDC文件系统接口

    任何一个适用于 ESP8266 的 MMC/SDC 文件系统接口? 我想启动一个将 elm-chan 的 FatFS 项目移植到 ESP 的项目。
    发表于 05-24 09:18

    请问时序约束文件SDC支持哪些约束?

    时序约束文件SDC支持哪些约束?
    发表于 08-11 09:27

    SDC1742 12位自整角机数字转换器

    电子发烧友网为你提供ADI(ti)SDC1742相关产品参数、数据手册,更有SDC1742的引脚图、接线图、封装手册、中文资料、英文资料,SDC1742真值表,SDC1742管脚等资料
    发表于 02-22 13:08
    <b class='flag-5'>SDC</b>1742 12位自整角机数字转换器

    SDC1740 14位自整角机数字转换器

    电子发烧友网为你提供ADI(ti)SDC1740相关产品参数、数据手册,更有SDC1740的引脚图、接线图、封装手册、中文资料、英文资料,SDC1740真值表,SDC1740管脚等资料
    发表于 02-22 13:08
    <b class='flag-5'>SDC</b>1740 14位自整角机数字转换器

    SDC1741 12位自整角机数字转换器

    电子发烧友网为你提供ADI(ti)SDC1741相关产品参数、数据手册,更有SDC1741的引脚图、接线图、封装手册、中文资料、英文资料,SDC1741真值表,SDC1741管脚等资料
    发表于 02-22 13:08
    <b class='flag-5'>SDC</b>1741 12位自整角机数字转换器

    时序分析的设计约束SDC怎么写呢?

    使用SDC命令create_clock创建时钟,时钟周期20,占空比50%的时钟信号
    的头像 发表于 06-18 09:42 2641次阅读
    时序分析的设计约束<b class='flag-5'>SDC</b>怎么写呢?

    SDC是如何炼成的?怎么去验收SDC呢?

    STA是由SDC驱动的,所以SDC的完整性、正确性和一致性直接决定着综合、布局布线以及STA的有效性。
    的头像 发表于 06-28 17:17 2293次阅读
    <b class='flag-5'>SDC</b>是如何炼成的?怎么去验收<b class='flag-5'>SDC</b>呢?

    时序分析基本概念—SDC概述

    今天我们要介绍的时序概念是设计约束文件 **SDC** . 全称 ***Synopsys design constraints*** . SDC是一个设计中至关重要的一个文件。
    的头像 发表于 07-03 14:51 4123次阅读
    时序分析基本概念—<b class='flag-5'>SDC</b>概述

    介绍基本的sdc时序特例

    今天我们要介绍的基本sdc是 **时序特例** ,也就是我们常说的Path exception。针对一些路径需要的一些特殊设定,常用的有set_false_path, set_multicycle_path, set_max_delay,set_min_delay等
    的头像 发表于 07-03 15:34 510次阅读
    <b class='flag-5'>介绍</b>基本的<b class='flag-5'>sdc</b>时序特例

    时序分析基本概念介绍—时钟sdc

    虽然sdc大大小小有上百条命令,但实际常用的其实就那么10几条。今天我们来介绍下与时钟相关的命令。
    的头像 发表于 07-05 10:57 1268次阅读
    时序分析基本概念<b class='flag-5'>介绍</b>—时钟<b class='flag-5'>sdc</b>