0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

SAMD11板卡学习:D11板卡与D10 的Xplain mini板卡芯片比较说明

电子工程师 来源:网络整理 2018-02-01 05:03 次阅读

拿到板子,可以看到板子很小,还不足一个名片的大小,但是却把适当的接口和外设引了出来,并且集成了EDBG板载调试接口电路。或许有人会说,其它板上并没有几个元件,为什么不把板子做得更小一些呢?其实ATMEL在设计的时候就考虑到了一系列的板卡扩展问题,SAM系统的Xplained Pro板卡把板载接口全部统一化,即如果你手上有Xplained Pro的其它的扩展卡,只要你把它插到相应的Xplained Pro主板卡扩展口上,那么就可以开始使用这个扩展板卡了。这个设计有点类似Arduino,把外设扩展标准化、统一化。

D11板卡正面图如下,可以看到有两个USB接口,分别对应EDBG USB口和D11的USB接口。两个Qtouch接口,两个按键(一个系统复位和一个用户按键),右侧有电源的插针和扩展口插针。

1

反面则是电源和一些保护芯片,以及很显眼的EDBG调试芯片电路。板的右下方还把扩展接口和引脚对应的功能进行了标明。

2

刚好手上有D10 的Xplain mini板卡,那么就来个两块板卡的一些对比吧

从图片可以看出,两块板卡的大小基本差不多,但D10 Xplained mini板卡多了个长长的脖子,而且USB接口也只有一个。那是因为D10与D11相比,芯片内部少了USB接口功能,因此D10板卡上并没有USB电路和接口。下面是官方的D10 、D11芯片的一些说明。

4

看得出来,D10/D11芯片以至于D系列的芯片都是互相兼容的,只是功能上有作增减,同一程序,在这一系列芯片上不用修改即可运行,当然所使用的功能接口在该些芯片上有才行。

下面是D11/D10的引脚图,相同封装,引脚完全兼容。

5

6

175412k2zl300izl8zafzg

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    446

    文章

    47746

    浏览量

    409041
  • 接口
    +关注

    关注

    33

    文章

    7629

    浏览量

    148444
收藏 人收藏

    评论

    相关推荐

    板卡控制与plc控制的区别

    板卡控制与PLC(Programmable Logic Controller,可编程逻辑控制器)控制是两种常见的工业自动化控制方式。虽然在某些方面存在一些相似之处,但它们在很多方面有着显著的区别
    的头像 发表于 02-05 14:31 1689次阅读

    基于ZYNQ FPGA构建嵌入式的模拟计算板卡

    板卡基于高速400M 采样AD 和ZYNQ FPGA构建嵌入式的模拟计算板卡, 可用于工业雷达,行业雷达的场合。板卡使用工业级芯片
    的头像 发表于 01-09 11:30 836次阅读
    基于ZYNQ FPGA构建嵌入式的模拟计算<b class='flag-5'>板卡</b>

    请问多块板卡间的AD9739同步如何实现?

    请问多块板卡间的AD9739同步如何实现?(如每个板卡有8个AD9739,有3个板卡) 参照手册的方案,MASTER/SLAVE模式,感觉实现比较复杂,有没有另外的方案建议? 谢谢!
    发表于 11-15 07:39

    如何实现一套FPGA工程无缝兼容两款管脚不同的板卡

    试想这样一种场景,有两款不同的FPGA板卡,它们的功能代码90%都是一样的,但是两个板卡的管脚分配完全不同,
    的头像 发表于 11-08 14:21 637次阅读
    如何实现一套FPGA工程无缝兼容两款管脚不同的<b class='flag-5'>板卡</b>?

    基于FPGA的速度和位置测量板卡设计实现

    电子发烧友网站提供《基于FPGA的速度和位置测量板卡设计实现.pdf》资料免费下载
    发表于 11-08 09:49 0次下载
    基于FPGA的速度和位置测量<b class='flag-5'>板卡</b>设计实现

    请问ickey的测试板卡中有哪一个带有mini pci-e接口?

    ickey的提供试用测试的板卡中,有哪一个MCU板卡是带有mini pci-e接口?
    发表于 11-01 06:12

    RK3288板卡试用范围

    电子发烧友网站提供《RK3288板卡试用范围.rar》资料免费下载
    发表于 09-15 15:45 3次下载
    RK3288<b class='flag-5'>板卡</b>试用范围

    芯片验证板卡设计原理图:基于XCVU440的多核处理器多输入芯片验证板卡

    基于XCVU440T的多核处理器多输入芯片验证板卡基于6U CPCI架构,是单机中的一个计算控制板卡,以Xilinx XCVU440-FLGA2892 FPGA(作为处理器载体)为核心,FPGA
    的头像 发表于 09-12 10:30 621次阅读
    <b class='flag-5'>芯片</b>验证<b class='flag-5'>板卡</b>设计原理图:基于XCVU440的多核处理器多输入<b class='flag-5'>芯片</b>验证<b class='flag-5'>板卡</b>

    芯片验证板设计原理图第446篇:基于VU440T的多核处理器多输入芯片验证板卡

    、FPGA可编程指示灯) 11板卡要求工业级芯片。结构满足抗震要求 12)板卡提供散热板,+12V输入直流电源,提供过流,过压,反接保护。 13)
    发表于 09-08 15:07

    芯片验证板卡设计原理图:基于VU440T的多核处理器多输入芯片验证板卡

    基于XCVU440-FLGA2892的多核处理器多输入芯片验证板卡为实现网络交换芯片的验证,包括四个FMC接口、DDR、GPIO等,板卡用于完成甲方的
    的头像 发表于 08-24 10:58 505次阅读
    <b class='flag-5'>芯片</b>验证<b class='flag-5'>板卡</b>设计原理图:基于VU440T的多核处理器多输入<b class='flag-5'>芯片</b>验证<b class='flag-5'>板卡</b>

    板卡是德34901插入不识别故障怎么办

    近日某院校送修是德科技板卡34901,客户反馈板卡板卡板卡插入不识别,对仪器进行初步检测,确定与客户描述故障一致。本期将为大家分享本维修案例。 下面就是是德科技-34901维修情况 一
    的头像 发表于 08-02 14:24 327次阅读
    <b class='flag-5'>板卡</b>是德34901插入不识别故障怎么办

    芯片验证板卡设计原理图:基于XCVU440的多核处理器多输入芯片验证板卡

    板卡系我司自主研发的基于6U CPCI处理板,适用于多核处理器多输入芯片验证的应用。芯片采用工业级设计。
    的头像 发表于 07-31 15:50 455次阅读
    <b class='flag-5'>芯片</b>验证<b class='flag-5'>板卡</b>设计原理图:基于XCVU440的多核处理器多输入<b class='flag-5'>芯片</b>验证<b class='flag-5'>板卡</b>

    芯片验证板卡设计原理图:446-基于VU440T的多核处理器多输入芯片验证板卡

    基于XCVU440-FLGA2892的多核处理器多输入芯片验证板卡为实现网络交换芯片的验证,包括四个FMC接口、DDR、GPIO等,板卡用于完成甲方的
    的头像 发表于 07-25 14:17 432次阅读
    <b class='flag-5'>芯片</b>验证<b class='flag-5'>板卡</b>设计原理图:446-基于VU440T的多核处理器多输入<b class='flag-5'>芯片</b>验证<b class='flag-5'>板卡</b>

    XCVU9P板卡设计原理图:613-基于6UVPX C6678+XCVU9P的信号处理板卡

    板卡基于6U VPX标准结构,包含一个C6678 DSP芯片,一个XCVU9P 高性能FPGA,双路HPC FMC。
    的头像 发表于 07-20 16:21 706次阅读
    XCVU9P<b class='flag-5'>板卡</b>设计原理图:613-基于6UVPX C6678+XCVU9P的信号处理<b class='flag-5'>板卡</b>

    VT开箱来啦!看看这次有你用过的HIL板卡么? #VTHiL

    板卡
    北汇信息POLELINK
    发布于 :2023年06月14日 15:57:44