0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于Nios系统的Avalon总线概述

DIri_ALIFPGA 2018-01-27 22:03 次阅读

Nios系统的所有外设都是通过Avalon总线与Nios CPU相接的,Avalon总线是一种协议较为简单的片内总线,Nios通过Avalon总线与外界进行数据交换。

Avalon总线接口分类

可分为两类:Slave和Master。slave是一个从控接口,而master是一个主控接口。slave和master主要的区别是对于Avalon总线控制权的把握。master接口具有相接的Avalon总线控制权,而slave接口是被动的。常见的Avalon的传输结构有:Avalon总线从读(slave read),Avalon总线带一个延迟状态从读,Avalon总线从写(slave write),Avalon总线带一个延迟状态从写。

Avalon总线的特点有

所有外设的接口与Avalon总线时钟同步,不需要复杂的握手/应答机制。这样就简化了Avalon总 线的时序行为,而且便于集成高速外设。Avalon总线以及整个系统的性能可以采用标准的同步时序分析技术来评估。

所有的信号都是高电平或低电平有效,便于信号在总线中高速传输。在Avalon总线中,由数据选择器(而不是三态缓冲器)决定哪个信号驱动哪个外设。因此外设即使在未被选中时也不需要将输出置为高阻态。

为了方便外设的设计,地址、数据和控制信号使用分离的、专用的端口。外设不需要识别地址总线周期和数据总线周期,也不需要在未被选中时使输出无效。分离的地址、数据和控制通道还简化了与片上用户自定义逻辑的连接 。

其他特性和约定

Avalon总线还包括许多其他特性和约定,用以支持SOPC Builder软件自动生成系统、总线和外设,包括:

最大4GB的地址空间——存储器和外设可以映像到32位地址空间中的任意位置

内置地址译码——Avalon总线自动产生所有外设的片选信号,极大地简化了基于Avalon总线的外设的设计工作

多主设备总线结构——Avalon总线上可以包含多个主外设,并自动生成仲裁逻辑

采用向导帮助用户配置系统——SOPC Builder提供图形化的向导帮助用户进行总线配置(添加外设、指定主/从关系、定义地址映像等)。Avalon总线结构将根据用户在向导中输入的参数自动生成

动态地址对齐——如果参与传输的双方总线宽度不一致,Avalon总线自动处理数据传输的细节,使得不同数据总线宽度的外设能够方便地连接

Avalon 总线模块为外设提供的服务

Avalon 总线模块为连接到总线的Avalon 外设提供了以下的服务:

数据通道多路转换——Avalon 总线模块的多路复用器从被选择的从外设向相关主外设传输数据。

地址译码——地址译码逻辑为每一个外设提供片选信号。这样,单独的外设不需要对地址线译码以产生片选信号,从而简化了外设的设计。

产生等待状态(Wait-State)——等待状态的产生拓展了一个或多个周期的总线传输,这有利于满足某些特殊的同步外设的需要。当从外设无法在一个时钟周期内应答的时候,产生的等待状态可以使主外设进入等待状态。在读使能及写使能信号需要一定的建立时间/保持时间要求的时候也可以产生等待状态。

动态总线宽度——动态总线宽度隐藏了窄带宽外设与较宽的Avalon 总线(或者Avalon 总线与更高带宽的外设)相接口的细节问题。举例来说,一个32 位的主设备从一个16 位的存储器中读数据的时候,动态总线宽度可以自动的对16 位的存储器进行两次读操作,从而传输32 位的数据。这便减少了主设备的逻辑及软件的复杂程度,因为主设备不需要关心外设的物理特性。

中断优先级(Interrupt-Priority)分配——当一个或者多个从外设产生中断的时候,Avalon 总线模块根据相应的中断请求号(IRQ)来判定中断请求。

延迟传输(Latent Transfer)能力——在主、从设备之间进行带有延迟传输的逻辑包含于Avalon总线模块的内部。

流式读写(Streaming Read and Write)能力——在主、从设备之间进行流传输使能的逻辑包含于Avalon 总线模块的内部。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • NIOS
    +关注

    关注

    2

    文章

    104

    浏览量

    52663
  • 数据交换
    +关注

    关注

    0

    文章

    86

    浏览量

    17810
  • Avalon总线
    +关注

    关注

    0

    文章

    11

    浏览量

    10096

原文标题:Avalon总线概述

文章出处:【微信号:ALIFPGA,微信公众号:FPGA极客空间】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Avalon 总线规范_中文

    Avalon 总线规范_中文
    发表于 10-27 21:05

    avalon总线关于reset信号的问题

    最近在学习avalon总线的文档,小弟有一个疑问,在突发传输中,resetrequest信号 在slave中是输出,在master中也是输出,那这个resetrequest信号是怎么产生的?输出去哪儿?系统架构吗?
    发表于 05-10 09:31

    例说FPGA连载54:NAND Flash实例之Avalon-ST总线

    Avalon-ST总线派上用场。假设这个实例系统NIOS II处理器负责将前端采集的图像进行解码或是其他处理,然后再送给显示终端。那么在图像采集的前端就会产生大量的数据吞吐量,而在
    发表于 12-01 17:56

    nios与外面fpga的数据怎么通过avalon协议传输?

    最近在学习nios,想知道nios与外面fpga的数据怎么通过avalon协议传输?我在搭建mcu的时候怎么搭建地址线与数据线?是用i2c slave to avalon-MM mas
    发表于 06-17 14:34

    Avalon设备怎么定制?

    SoPCBuilder环境下加载使用,方便了用户开发一个自定制的片上系统。本文通过在NiosⅡ嵌入式系统内部集成了基于Avalon总线的脉冲
    发表于 08-21 08:20

    AVALON总线的应用是什么?有哪些要求?

    AVALON总线的应用是什么?有哪些要求?
    发表于 06-10 09:12

    Avalon总线规范(中文版)

    Avalon 总线是一种将片上处理器和外设连接成片上可编程系统(SOPC)的一种简单总线结构。Avalon
    发表于 07-09 18:39 0次下载

    avalon总线框架

    Avalon 总线是一种将片上处理器和外设连接成片上可编程系统(SOPC)的一种简单总线结构。Avalon
    发表于 11-21 09:10 3472次阅读
    <b class='flag-5'>avalon</b><b class='flag-5'>总线</b>框架

    基于Avalon总线的PWM外设实现NiosⅡ嵌入式处理器的设计

    )中,在SoPCBuilder环境下加载使用,方便了用户开发一个自定制的片上系统。本文通过在NiosⅡ嵌入式系统内部集成了基于Avalon总线
    的头像 发表于 03-18 08:00 1722次阅读
    基于<b class='flag-5'>Avalon</b><b class='flag-5'>总线</b>的PWM外设实现<b class='flag-5'>Nios</b>Ⅱ嵌入式处理器的设计

    浅谈AVALON总线的应用及要求

     AVALON总线,其实是一种交换架构的协议,在自定义外设挂在AVALON总线上时,一定要注意地址对齐。
    发表于 02-11 17:15 1509次阅读
    浅谈<b class='flag-5'>AVALON</b><b class='flag-5'>总线</b>的应用及要求

    Nios II处理器的体系结构及Avalon总线接口规范

    软核演练篇包含了哪些内容:该篇以什么是软核、什么是Qsys、如何构建一个Qsys系统为切入点,在该基础上进一步介绍了Nios II处理器的体系结构、Qsys丰富多彩的内置IP,以及Avalon
    的头像 发表于 08-06 06:01 2921次阅读

    锆石FPGA A4_Nano开发板视频:Avalon总线规范的讲解(2)

    Nios系统的所有外设都是通过Avalon总线Nios CPU相接的,Avalon
    的头像 发表于 10-08 07:05 1322次阅读

    锆石FPGA A4_Nano开发板视频:Avalon总线规范的讲解

    Nios系统的所有外设都是通过Avalon总线Nios CPU相接的,Avalon
    的头像 发表于 09-30 07:04 1268次阅读
    锆石FPGA A4_Nano开发板视频:<b class='flag-5'>Avalon</b><b class='flag-5'>总线</b>规范的讲解

    基于Nios II实现AVALON总线与USB控制器的接口设计

    添加到AVALON总线AVALON总线是一种结构相对简单的总线,用于连接Nios II和其他外
    发表于 04-11 17:01 1373次阅读
    基于<b class='flag-5'>Nios</b> II实现<b class='flag-5'>AVALON</b><b class='flag-5'>总线</b>与USB控制器的接口设计

    NiOSⅡ处理器的Avalon总线架构资料下载

    电子发烧友网为你提供NiOSⅡ处理器的Avalon总线架构资料下载的电子资料下载,更有其他相关的电路图、源代码、课件教程、中文资料、英文资料、参考设计、用户指南、解决方案等资料,希望可以帮助到广大的电子工程师们。
    发表于 04-27 08:54 9次下载
    <b class='flag-5'>NiOS</b>Ⅱ处理器的<b class='flag-5'>Avalon</b><b class='flag-5'>总线</b>架构资料下载