0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

cdc路径方案帮您解决跨时钟域难题

数字前端ic芯片设计 来源:互联网 作者:佚名 2017-11-30 06:29 次阅读

Hi,根据之前大家的反馈,这一章就来简单介绍一下CDC也就是跨时钟域可能存在的一些问题以及基本的跨时钟域处理方法。

跨时钟域的问题主要存在于异步时钟信号之间。首先同步时钟和异步时钟的主要区别在于同步时钟是同源的,有一个确定的相位关系,在综合约束的保证下同步时钟路径可以尽可能的去满足setup/hold的要求。同步时钟在CDC中属于同一个时钟域。

而异步时钟来自不同的晶振源,他们之间没有一个确定的相位关系,也就是说如果一个条路径的起点和终点寄存器由异步时钟驱动,没有任何办法来保证信号在何时会到达终点寄存器,这样可能引发一系列的问题,比如亚稳态。我们知道对于同步时钟信号,在综合工具以及后端工具中有时钟约束,可以解决同步时钟路径的setup/hold,而对于异步信号,在综合以及后端中都设为false path,也就是说没有办法从工具的角度进行解决。在这种情况下,就需要从设计层面来解决这个问题,避免这些路径的违例对电路功能造成影响。

Synopsys提供的Spyglass工具可以用于检测系统中存在的CDC路径,并且分析其可能产生的问题,在综合之前进行CDC的检测以及相关设计的修改,可以最大程度地避免这些问题。下面我们来看下几种CDC可能造成的问题以及相应的检测和简单的解决方案。

1.metastability

亚稳态是跨时钟域中最主要的一个问题,因为在一条时钟路径中我们无法预知信号会在何时到达终点寄存器,那么有可能信号就会造成setup/hold的违例

上图所示的例子是一个很典型的metastability 问题。由于A信号在F2寄存器的setup违例,造成的亚稳态会在F2的下接负载中进行传播。

对于亚稳态问题,针对具体信号的功能,一般有不同的处理。

对于多bitdata信号,我们可以使用使能技术,也就是通过一个使能信号来判断data信号是否已经稳定,当使能信号有效的时候说明data处于稳定状态,在这种情况下终点寄存器才对信号进行采样,可以保证没有setup/hold违例。而使能信号一般使用double FF的方法来进行同步。下面是一些简单的data同步电路的例子:

在该电路中Cntrl信号通过两级寄存器同步,通过mux来控制data的采样,注意到这里使用的是recirculatemux

当然也可以不适用recirculatemuxmux的另一个输入同样来自终点时钟域。

此外还可以通过long-delay,也就是给信号长的延时来保证信号能在指定的时间到达。

如果是控制信号,我们可以直接使用double FF的方法,也就是多级寄存器同步的方法来进行同步。这是因为控制信号位数较少,不需要额外使用使能信号资源。

上图是个最基本的两级flop的同步器,原理很简单,我们默认亚稳态会在一个时钟周期之内解决,因此从第一级flop输入的亚稳态到第二级flop就稳定了。因为这是一个1-bit的信号,所以如果第一个时钟亚稳态稳定的值不是我们预估的值,比如1->0的跳变,亚稳态最后结果是1,那么0的稳定值也会在下一个周期到达第二级flop

以上是一些最基本的信号同步器,根据具体design的不同,可以设置不同的同步逻辑,但同步逻辑的基本思路都类似,通过控制信号来保证来自时钟源A的信号在稳定的情况下才被来自时钟源B的终点寄存器采样,而控制信号因为多为单bit,一般都使用两级寄存器来采样。

2. fast-slow CDC

除了亚稳态之外,如果信号起始时钟源频率比终点时钟源高很多,那么可能就会导致信号的丢失。

在上图的例子中,虽然A信号到B信号之间使用了同步器,但是如果时钟域A的频率过高,很容易造成信号的丢失。对此,我们需要使用额外的结构来保证A信号不丢失。

常用的方法有两种,一是使用定制化的电路,使A->B之间有至少一个B周期的延时。

另一个是使用控制信号,控制信号的信息来自B时钟域的反馈信号,当B成功完成一次有效采样之后,才让A读取下一个信号。针对不同的具体电路有不同的设计方案,这里就不一一列出。

3. multi-bit data correlation

对于多bitdata信号,如果采用每个bit分别同步的方法,由于每个bit到达同步器的延时不同,可能造成采样时刻多bit的信号corelation有问题。

在上图所示例子中,由于每个bit到达2-FFs同步器的时间不同,如果这几位bit同时跳变,可能在第一拍采样的时候采到的不是我们想要的结果。

对此,我们可以使用格雷码,来确保对于多bit信号每次只有一位bit发生了跳变。另外可以使用使能信号,在所有bit的信号都稳定之后,才进行同步采样。

4. FIFO

我们可以使用FIFO来完成跨时钟域的信号转换,下图是一个简单的FIFO例子

FIFO会占用比较多的资源,但对于跨时钟域问题能够有很好的保证。对于FIFO,我们可以使用DesignWare中已经搭建好的标准单元,这是经过验证的可综合的FIFO。在Spyglass中,我们也可以对FIFO的正确性进行验证。

5.reset synchronization

复位信号是一个系统中最重要的几种信号。复位信号的同步也是系统中很关键的一个环节。对于复位信号的同步,我们有一个经典的标准设计方案

从上图中我们可以看到,我们采用了异步复位同步释放地方法。这里并不是直接将复位信号进行两级同步。

以上就是CDC的一些基本问题和经典的解决方案,针对不同设计我们可以有不同的解决方法,而通过Spyglass,我们可以在综合之前对CDC的问题进行一个完整的检测。希望以上对大家的设计有所帮助。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • CDC
    CDC
    +关注

    关注

    0

    文章

    55

    浏览量

    17665

原文标题:关于跨时钟域的分析和简单的解决方案

文章出处:【微信号:ic_frontend,微信公众号:数字前端ic芯片设计】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA项目开发之同步信号和亚稳态

    ,无需等待 place 和 root 完成。 在 TCL 控制台中运行命令report_cdc – 有很多选项可用于写出文件、分析特定路径或创建豁免。 这将显示设计中的所有时钟交叉
    发表于 11-03 10:36

    处理跨时钟域(CDC)信号同步的最常见方法

    时钟域( **Clock Domain Crossing,CDC** )通俗地讲,就是 **模块之间数据交互时用的不是同一个时钟进行驱动** ,如下图所示:左边的模块FA由C1驱动,属于C1
    的头像 发表于 09-20 11:24 1434次阅读
    处理跨<b class='flag-5'>时钟</b>域(<b class='flag-5'>CDC</b>)信号同步的最常见方法

    一键获取逻辑设计中的所有跨时钟路径

    之前在玩FPGA时,对于一个系统工程,当逻辑电路设计完成之后,一般会先拿给Vivado/Quartus先去跑一般综合,然后去获取所有的跨时钟路径,在ASIC里,基本也是拿EDA工具去分析获取。今儿个搞个小demo,看在SpinalHDL当设计做完后,如何一键提取整个工程里
    的头像 发表于 09-15 14:06 361次阅读

    数字设计中常见的CDC问题

    在数字系统级别的时钟域交叉(CDC)中,亚稳态传播问题是一个重要的挑战。在这个问题中,由于时序差异,信号在触发器之间的传输可能会导致亚稳态值的产生和传播。让我们来看一下模型,如图1所示。
    的头像 发表于 08-14 11:48 554次阅读
    数字设计中常见的<b class='flag-5'>CDC</b>问题

    CoreLink™ADB-400AMBA®桥接循环模型用户指南

    AMBA®桥(ADB)在AMBA系统中集成了多个电源或时钟,或不同的电压电平。它提供了两个组件或系统之间的异步桥接。它还支持时钟可用性管理、简单的重置要求、复杂的电源管理以及动态电
    发表于 08-10 06:25

    FPGA设计中动态时钟的使用方法

    时钟是每个 FPGA 设计的核心。如果我们正确地设计时钟架构、没有 CDC 问题并正确进行约束设计,就可以减少与工具斗争的时间。
    发表于 07-12 11:17 799次阅读
    FPGA设计中动态<b class='flag-5'>时钟</b>的使用方法

    动态时钟的使用

    时钟是每个 FPGA 设计的核心。如果我们正确地设计时钟架构、没有 CDC 问题并正确进行约束设计,就可以减少与工具斗争的时间。
    的头像 发表于 07-05 09:05 660次阅读
    动态<b class='flag-5'>时钟</b>的使用

    时钟域电路设计—单比特信号传输

    时钟域(CDC)的应从对亚稳定性和同步性的基本了解开始。
    的头像 发表于 06-27 14:25 570次阅读
    跨<b class='flag-5'>时钟</b>域电路设计—单比特信号传输

    CDC时钟域处理及相应的时序约束

    CDC(Clock Domain Conversion)跨时钟域分单bit和多bit传输
    的头像 发表于 06-21 14:59 1027次阅读

    芯片设计进阶之路—SpyGlass CDC流程深入理解

    随着技术的发展,数字电路的集成度越来越高,设计也越来越复杂。很少有系统会只工作在同一个时钟频率。一个系统中往往会存在多个时钟,这些时钟之间有可能是同步的,也有可能是异步的。如果一个系统中,异步
    发表于 06-21 10:54 8743次阅读
    芯片设计进阶之路—SpyGlass <b class='flag-5'>CDC</b>流程深入理解

    FPGA时序约束之伪路径和多周期路径

    前面几篇FPGA时序约束进阶篇,介绍了常用主时钟约束、衍生时钟约束、时钟分组约束的设置,接下来介绍一下常用的另外两个时序约束语法“伪路径”和“多周期
    发表于 06-12 17:33 887次阅读

    高级FPGA设计技巧!多时钟和异步信号处理解决方案

    用于重新同步信号,在这两个时钟之间并没有需要分析的同步路径。此外,如前所述这两个触发器之间的时序要尽可能的小,这样可以减小亚稳态被传播到第二级触发器的可能性。 1.4 解决亚稳态方案
    发表于 06-02 14:26

    怎样才能多个集线器从LPC5411x枚举多个串行端口?

    由于 ES_LPC5411x 中的勘误表 USB.1,需要 TN00031 中的解决方法才能多个 USB 集线器实现无晶体 USB。我正在研究从单个设备支持多个串行端口。但是,SDK 中的示例
    发表于 05-06 07:03

    XDC约束技巧之CDC

    上一篇《XDC 约束技巧之时钟篇》介绍了 XDC 的优势以及基本语法,详细说明了如何根据时钟结构和设计要求来创建合适的时钟约束。我们知道 XDC 与 UCF 的根本区别之一就是对跨时钟
    的头像 发表于 04-03 11:41 1153次阅读

    各种类型CDC路径中的毛刺问题

    CDC 验证不仅在 RTL 有必要,在门级也必不可少。在 RTL,重点是通过识别 CDC 结构和方案来确定时钟域和 CDC
    的头像 发表于 03-30 11:03 847次阅读