0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

EDA技术特点,作用及前景分析

电子工程师 来源:网络整理 作者:工程师姚远香 2018-07-15 11:56 次阅读

电子电路的设计是一项非常复杂的系统工程,在设计过程中,由设计者通过对具体数据进行相应的分析,然后提出初步设计方案,再进行相应的修改与调试,不断地对电路的设计进行补充,完善电路设计方案。

这个过程是十分复杂而费时的。随着电子设计自动化(EDA)技术的出现,极大的节约了电子电路课程设计的时间,使得电子电路的设计更加简准确、科学。

1.EDA技术的特点

电子设计自动化(EDA)技术是将计算机作为工作的平台,通过融合电子技术、智能化技术以及计算机技术的最新成果而设计出来的一项现代电子技术。随着现代化教育的不断深入,EDA技术的应用也越来越广泛。目前,EDA技术已经在电子电路设计、印刷电路板设计、可编程器件的编程以及集成电路板的设计中被广泛的应用。

通过运用EDA技术,相应的设计人员能够对电路设计、逻辑分析、时序测试、性能设计等各个方面进行自动设计。对于EDA软件技术的开发而言,Multisiim8.0软件支撑平台是发挥EDA技术功能的有力支撑平台,能够保证其电路的建立、实验数据的分析以及结构的输出等方面的处理与分析过程能够在一个集成系统中完成。在利用EDA技术进行设计的过程中,设计人员只需要通过鼠标进行简单的操作就能够完成电路的创建、更改电路参数、更换电路元器件等方面的工作。

同时,在使用EDA技术进行电路设计的过程中,该软能够白行对相应的设计方案进行渊试,不断地对设计电路的性能进行分析,对电路设计中的漏洞、问题进行修补充、修改,从而使得设计的电路性能最优化。

同时,对于运用EDA技术在Multisiim8.0软件支撑平台中进行电路设计及其优化而言,该软件在输入输出指令以及各种控制语言方面没有硬性的要求,也不需要对电路的各个环节进行相应的程序编制,只需要在电路设计的平台内将虚拟的电子元器件用节点和线进行连接,就能够从虚拟的仪器表上得到相应的仿真波形以及各种参数的分析结果。Multisiim8.0软件平台中设有大量的与实际元器件相对应的虚拟模型,这也就使得在进行相应的电子电路设计中,电路设计的的形式更加趋近于现实情况,使得其仿真效果更加精确、科学。

2.利用EDA技术进行电子电路设计的一般步骤

2.1对相应的设计课题进行理解

在进行电子电路设计之前,要对需要设计的电路进行全方面的分析,对设计电路的功能要求、设计标准、设计元件以及技术指标能够熟练的掌握,对于处理信号与被控制转换对象的特点和参数进行系统的分析与统计。其设计的基本流程如下图所示:

图1基本流程

2.2制定相应的设计方案

通过对系统的总体功能进行分析,绘制电路设计的原理框图,然后将总的设计方案划分为多个环节。通过对不同环节间的联系进行分析,确定各个环节间的信号交流方式以及电路运行的时序。电路设计的总框图要能够简单、清晰的表达出整个电路设计的过程与原理。

2.3对单元电路进行仿真实验

在确定了总的设计方案后,要对元器件进行选择,通过电子仿真软件将每个环节的电路图进行绘制,然后利用电子仿真软件中的仿真功能对其进行仿真检验,以判断该环节的设计方案是否可行。如果在电路的设计中运用了COMS、分立元件、TTL、运放集成电路等多种元器件,采用了不同的电源供电,那么,在设计的过程中就应该对电路间的电平转换过程进行设计,并对其转换的方式与流程进行相应的框图绘制,从而确保其电平的转换方式正确。

同时,在进行仿真电路设计时,可以根据所要设计的电路类型选择合适的仿真软件。当下,电力行业中已经开发出许多种侧重点不同的仿真软件,包括SPICE、ProteusMultisim等软件。一般来说,对于在电路设计中基础电路的仿真过程来说,其最好的选择是采用Multisim软件进行仿真实验;对于相对复杂的控制电路来说,其主要采用的是Proteus软件;在通信工程的电路设计中,在进行仿真实验设计时,一般采用的是MATLAB软件。

通过对各种仿真电路软件的使用,能够对各个环节的设计方案进行相应的性能检验,对其运行的原理及流程进行模拟,还能对电路设计方案中的参数自动分析,对于设计方案中的不足之处进行改进,从而使得设计方案得到优化。通过对EDA技术的运用,不仅能够使得设计的过程变得简单便捷,节约大量的时间,而且能够开发相应学习者的创新思维,提高其动手能力。

2.4对各环节之间的设计方案进行分析

在运用EDA技术对单元电路进行仿真实验之后,要对整个电路的可行性进行分析。因为在进行各个单元的仿真实验中,都是对其一部分的性能进行检验,这也就使得即使各个环节都不存在问题,但在组合成一个整体时就可能存在各环节之间搭配不合理的状况,从而使得整个系统的性能达不到预期的目标。

因此,在对各环节进行仿真实验之后,要对各个环节的电路进行全面的分析,对于信号的输入输出关系、各环节接口的极性以及各环节的时序等方面进行深入的分析,从而得出电路设计中存在的冲突与矛盾,进而对其进行修改,制定出最佳的设计方案。

2.5组合各设计环节

在对各个环节电路以及各环节电路之间的设计方案进行检验之后,要对整个设计方案进行仿真实验,从而验证整个设计的可行性。在按照设计要求设计出相应的电路元件后,要对其进行反复的实验与联系,从而使得设计人员能够熟练掌握该电路的设计方式。

同时,由于在进行电子仿真实验过程中,其元件都是采用的理想元件以及理想的连接工艺,而在实际的电路中,影响其性能稳定性的因素很多。因此,在对电路的实体安装过程中,要对其性能进行多次调试,以使其达到性能最优点。

3.实例分析

3.1组合逻辑电路的设计

通过对电子电路设计的一般步骤可以推出组合逻辑电路的设计步骤为:分析问题,列表,求表达式,画出电路图。下面我们以判断两个输入信号的电路是否同路的逻辑仿真设计为例展开讨论。

3.1.1设定规则。将两个输入信号分别设定为A、B,其输出信号设为X,当A、B两个信号的输入电路相同时输出X=0,当A、B两个型号输入电路不同时其输出为X=1。

3.1.2启动电子工作平台(EWB),进入其主界面,将该平台的仪器数据库打开,搜索其中的逻辑转换仪,双击其图标,以打开逻辑转换仪的操作面板,在面板上的真值表区分别点击A、B两个逻辑变量,从而在面板的输出区域建立一个二变量真值表,并根据相应的要求在输出变量列中输入相应的逻辑数值。

3.1.3在逻辑转换仪中输入相应的输入量后,在其面板上点击“真值表→简化逻辑表达式”选项,使得经简化的逻辑表达式在该面板底部的逻辑表达栏中显示出来。

3.1.4简化的逻辑表达式在逻辑转换仪面板底部显示出来之后,再选择该面板上“表达式→与非逻辑电路”选项,之后在相应的显示区域显示出由五个与非门组成的电路。

3.1.5在该电路设计出来之后,要对其逻辑功能进行测试,通过在两个输入端接入两个开关,其中一个选择“+5V”,另一个选择接地,其输出端与指示灯相连接,然后接通开关,根据指示灯的状态,对真值表里的状态进行验证。

3.2时序电路设计

设计分频器,其主要由JK触发器组成。

首先,对JK触发器的逻辑功能进行测试。从相应的数字器件库中选用一个JK触发器,要求该触发器本身属性为低电平触发、置位与复位,按照下图进行连接,闭合该电路中仿真开关,然后打开逻辑分析仪面板,通过读取上面的图形以及参数,对其进行分析,就能够完成对JK触发器逻辑功能的测试。

图2时序电路设计

通过利用JK触发器的特性将触发器按照要求进行组装,使其具有相应的分频功能。一般来说,一个JK触发器可以制成二分频器,对两个分频器进行相应的组合能够组装成四分频器。根据设计的要求进行分析,得出在该电路设计中需要运用八分频器,那么就需要将三个触发器按照二进制导步计数器级连接的方式进行连接,从而得到八分频器。将分频器组装好之后,要把时钟的脉冲以及JK触发器的Q端连人电路中,与逻辑分析仪相连接,就能够获得相应的波形图,通过波形图就可以得到其输出端电平高低位与时间脉冲的关系。

4.认识EDA技术的作用及其前景分析

当前,EDA技术的应用越来越普遍,在电子电路设计领域所扮演的角色也越来越重要,这也就要求我们要正确认识EDA技术的作用。对于EDA技术正确应用的教育与引导主要来源于教育者,这也就要求相关的教育者必须正视EDA技术,合理充分的利用教学资源,积极采用新方法、新模式的教育教学方式,探索加快现代化教育进行的路径。

同时,在相应的教育教学过程中,应该将EDA技术引入到正规的教学课程中去,与相应的实验相结合,从而有效地加快学生对于这种技术的认识。但是,在教育教学的过程中,一定要着实事求是的原则,不能将其功能进行片面的夸大化,也不能仅仅依靠该种技术而取缔传统的手工实验,导致学生实际动手能力与思考能力的发展受到限制。

EDA技术现在正是处于快速发展的阶段,而随着各种大规模的FPGA器件的不断开发,EDA技术在其仿真与设计这两的方面的硬件标准得到了大幅度的发展。在未来的几年内,EDA技术会不断地向着大规模集成电路的方向发展,而且其软硬件IP核在相关的应用领域中将会得到进一步的确认,其SOC高效低本设计也会不断地成熟。

5.结语

通过将EDA技术运用到电子电路的设计与仿真过程中,使得其学习方式向着新颖化与趣味化转变,能够充分的调动起学生学习的积极性,发挥学生的主观能动性,从而有效地提高学生在电路的设计与分析方面的能力。同时,通过传统的教学方式与仿真技术相结合,将虚拟技术应用到实际的设计过程中,提高了对相应的教育者的要求,加快了课改的进度,是推动社会主义现代化进程的一个有力手段。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • eda
    eda
    +关注

    关注

    71

    文章

    2535

    浏览量

    170799
  • EDA技术
    +关注

    关注

    12

    文章

    170

    浏览量

    36695
  • 触发器
    +关注

    关注

    14

    文章

    1675

    浏览量

    60390
收藏 人收藏

    评论

    相关推荐

    面向高成品率设计的EDA技术

    达到使设计参数与加工后的参数基本一致。例如,在超深亚微米工艺下利用统计学技术,通过对测试数据进行统计学分析及Monte Carlo 仿真,针对参数偏差及失效点(缺陷)的统计分布特点建立统计学模型,以及
    发表于 06-11 10:25

    面向高成品率设计的EDA技术

    达到使设计参数与加工后的参数基本一致。例如,在超深亚微米工艺下利用统计学技术,通过对测试数据进行统计学分析及Monte Carlo 仿真,针对参数偏差及失效点(缺陷)的统计分布特点建立统计学模型,以及
    发表于 06-17 14:37

    EDA技术从何而来?EDA技术发展历程

    (计算机辅助工程)的概念发展起来的。EDA技术就是以计算机科学和微电子技术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术
    发表于 02-21 09:41

    基于EDA技术的FPGA该怎么设计?

    ),在数字系统设计和控制电路中越来越受到重视。介绍了这种电路的基本结构、性能特点、应用领域及使用中的注意事项。对基于EDA技术的FPGA进行了展望。指出EDA
    发表于 09-03 06:17

    基于EDA技术的FPGA设计计算机有哪些应用?

    ),在数字系统设计和控制电路中越来越受到重视。介绍了这种电路的基本结构、性能特点、应用领域及使用中的注意事项。对基于EDA技术的FPGA进行了展望。指出EDA
    发表于 11-01 07:24

    EDA技术的发展,EDA技术的基本设计方法有哪些?

    EDA技术的发展ESDA技术的基本特征是什么?EDA技术的基本设计方法有哪些?
    发表于 04-21 07:21

    分析嵌入式系统的技术特点

    基于嵌入式系统的概念,阐述嵌入式系统的关键技术、嵌入式开发以及广泛的应用。分析嵌入式系统的技术特点,分别从嵌入式处理器和嵌入式操作系统两方面介绍,着重说明它不同于其它操作系统的一些处理
    发表于 10-27 09:51

    1969的特点和元件的作用分析

    特点、元件的作用1969分析,及最优化的元件参数
    发表于 03-04 18:25 46次下载

    EDA技术的简单介绍及特点分析

    本文主要对EDA技术的简单介绍及特点分析EDA在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(
    发表于 01-05 15:17 1.1w次阅读

    现代eda技术特点作用浅谈

    本文主要详谈EDA技术特点作用,首先介绍了EDA技术的发展历程,其次阐述了
    发表于 04-27 09:44 1.2w次阅读

    电子EDA技术的基础知识(发展历程、特点作用、分类、应用、趋势)

    EDA技术是一门综合性学科,它打破了软件和硬件间的壁垒,代表了电子设计技术和应用技术的发展方向。本文将带大家一起来了解关于EDA
    发表于 05-23 14:27 5724次阅读

    关于eda技术的五大特点

    目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。下面是小编收集的关于eda技术特点,希望大家认真阅读!
    发表于 07-09 15:08 6741次阅读

    EDA产业发展现状与前景分析

    12月11日上午,在中国集成电路设计业2020年会之《EDA与IC设计创新论坛》上,行业各路大咖在会场对EDA的现状与前景展开讨论。
    的头像 发表于 12-11 13:05 3287次阅读

    eda的应用领域 EDA技术作用特点

    EDA(Electronics Design Automation,电子设计自动化)技术与PCB(Printed Circuit Board,印刷电路板)设计是密不可分的。EDA技术
    发表于 04-10 17:50 5607次阅读

    eda技术与vhdl基础 eda的主要功能优点 现代EDA技术特点有哪些

    EDA技术和VHDL是紧密相连的。在EDA设计中,VHDL通常用于描述数字电路的功能和行为,并通过逻辑分析器、仿真器等工具进行仿真、分析和验
    的头像 发表于 08-09 12:41 1176次阅读