0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

通过编写verilog代码实现OLED驱动和内容显示的解决方案

YCqV_FPGA_EETre 来源:未知 作者:佚名 2017-11-11 08:22 次阅读

本系列所有演示实验均出自由东南大学汤勇明教授、张圣清教授与Xilinx大学计划经理陆佳华先生所编著的教材《搭建你的数字积木·数字电路与逻辑设计(Verilog HDL&Vivado版)》。此教材为教育部Xilinx公司产学合作专业综合改革重点支持项目,并作为Xilinx公司大学计划指定教材。

本书系统论述了数字电路与逻辑设计的理论、方法与实践技术。全书基于Verilog HDL与Vivado开发环境,共18章,详尽介绍了如下内容: 逻辑设计与Vivado基础、布尔代数与Verilog HDL基础、组合逻辑电路设计基础、时序逻辑电路设计基础、有限状态机设计基础、逻辑设计工程技术基础、Vivado数字积木流程、串行通信接口控制器RAM接口控制器、字符点阵显示模块接口控制器、VGA接口控制器、数字图像采集、数字逻辑系统设计案例、单周期CPU设计案例、数字信号处理设计案例(FIR)、数字图像处理设计案例、大学生FPGA设计案例以及Xilinx资源导读。

为便于教师和广大读者学习与动手实践,本书配套提供了教学课件、教学视频程序代码等教学资源。本书适合作为普通高等院校电子信息类、电气信息类、自动化类专业的本科生教材,也可作为相关专业研究生参考教材,并适合作为电子与电气工程技术领域的科研工程技术人员的参考用书。

实验步骤

实验内容:本实验通过verilog代码的编写,在EGO1开发板上实现OLED的驱动和内容显示。

实验原理:本实验的驱动芯片为SSD1603 OLED驱动控制器,引脚功能如下图所示:

名 称

功 能

VCC

电源

D0

SCL,SPI的时钟

D1

SDA,SPI的数据

D/C

数据/指令标志

RST

复位

芯片可以使用SPI模式或者8080并行模式传输数据,这里使用四线SPI模式。其中D/C引脚用来表示传输的是的数据还是指令,D/C为高电平时,表示传输的是数据,D/C为低电平时,表示传输的是指令。

SSD1603数据和指令传输时序图如下所示:

功能实现:通过本实验,可以将OLED屏幕点亮并显示所需内容。

实验资料:可以通过github下载,下载链接请点击这里。

代码说明:本实验有5个verilog文件,其中oled_top.v为顶层文件,其余为底层文件,PIN.xdc为本实验的管脚约束文件。

实验操作

本实验使用Vivado 2017.1 进行开发,开发界面如下。如果您未安装vivado,安装流程请点击这里。

实验RTL视图:

代码综合结果:

代码实现结果:

具体vivado步骤请参看之前的微信文章,文章链接请点击这里

验效果图 :


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • OLED
    +关注

    关注

    118

    文章

    5982

    浏览量

    221403
  • Verilog
    +关注

    关注

    28

    文章

    1325

    浏览量

    109297
  • OLED驱动
    +关注

    关注

    1

    文章

    13

    浏览量

    10183

原文标题:【玩转EGO1系列教程一】:在EGO1开发板上实现OLED控制器

文章出处:【微信号:FPGA-EETrend,微信公众号:FPGA开发圈】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    stm32f407vet6驱动0.96寸oled显示屏无法显示怎么解决?

    stm32f407vet6驱动0.96寸oled——iic协议代码无报错时钟正确显示屏无法显示
    发表于 03-14 06:49

    全志H3实现OLED显示

    悟空派可以通过26 Pin引脚扩充SPI、IIC、UART以及GPIO功能。 此次分享通过双排插座引出的SPI,利用Python,进行经典的0.96寸OLED显示控制。
    发表于 12-15 13:48

    OLED怎么实现字库的字模显示

    OLED怎么实现字库的字模显示
    发表于 10-13 06:24

    JK触发器与T触发器的Verilog代码实现和RTL电路实现

    JK 触发器的 Verilog 代码实现和 RTL 电路实现
    的头像 发表于 10-09 17:29 2486次阅读
    JK触发器与T触发器的<b class='flag-5'>Verilog</b><b class='flag-5'>代码</b><b class='flag-5'>实现</b>和RTL电路<b class='flag-5'>实现</b>

    针对手机及工业应用高功率无线充电解决方案

    基于 STWLC99 的无线充电器 Rx 解决方案• 采用 ST 专有协议(STSC)的 Rx 输出功率高达 100W• 集成 OLED 显示屏和按钮,无需PC即可切换输出电压• 集成电源管理,允许
    发表于 09-07 07:38

    FPGA的Verilog代码编写规范

      注:以R起头的是对编写Verilog代码的IP设计者所做的强制性规定,以G起头的条款是建议采用的规范。每个设计者遵守本规范可锻炼命名规范性。
    的头像 发表于 08-15 16:23 1216次阅读

    RA4M2开发(2)----基于IIC驱动OLED

    在e2studio中创建新的工程并导入必要的文件,包括I2C驱动代码和SSD1306 OLED显示驱动
    的头像 发表于 07-27 10:04 1240次阅读
    RA4M2开发(2)----基于IIC<b class='flag-5'>驱动</b><b class='flag-5'>OLED</b>

    基2FFT的verilog代码实现及仿真

    上文基2FFT的算法推导及python仿真推导了基2FFT的公式,并通过python做了算法验证,本文使用verilog实现8点基2FFT的代码
    的头像 发表于 06-02 12:38 686次阅读
    基2FFT的<b class='flag-5'>verilog</b><b class='flag-5'>代码</b><b class='flag-5'>实现</b>及仿真

    【瑞萨RA MCU创意氛围赛】3. 硬件I2C驱动OLED显示汉字

    经常使用的一个显示屏,主要是因为使用简单,可以通过IIC进行通信,也有支持SPI通信的OLED。 本文讲解如何使用启明RA6M5来驱动OLED
    发表于 05-26 14:06

    Vivado:ROM和RAM的verilog代码实现

    本文主要介绍ROM和RAM实现verilog代码版本,可以借鉴参考下。
    的头像 发表于 05-16 16:57 914次阅读

    中文编程鸿蒙Hi3861开发进阶案例之-34显示OLED

    数组后就可以用绘制函数来显示图片 还可以用命令设置左右水平滚动 垂直滚动(只支持向上滚动) 下面是代码入口函数 显示效果,显示英文字符 显示
    发表于 05-15 20:56

    Verilog边沿检测的基本原理和代码实现

    本文将从Verilog和边沿检测的基本概念入手,介绍Verilog边沿检测的原理和应用代码示例。
    的头像 发表于 05-12 17:05 2332次阅读
    <b class='flag-5'>Verilog</b>边沿检测的基本原理和<b class='flag-5'>代码</b><b class='flag-5'>实现</b>

    如何使用参数化编写可重用的verilog代码

    我们将介绍如何使用verilog参数和generate语句来编写可重用的verilog 代码。 与大多数编程语言一样,我们应该尝试使尽可能多的
    的头像 发表于 05-11 15:59 703次阅读

    基于STM32单片机OLED显示汉字使用库文件设计源代码

    基于STM32单片机OLED显示汉字使用库文件设计源代码
    发表于 04-26 15:00 12次下载

    基于STM32单片机OLED显示使用库文件设计源代码

    基于STM32单片机OLED显示使用库文件设计源代码
    发表于 04-26 14:59 2次下载