0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

计算机的简单理论模型到有限状态机

UtFs_Zlgmcu7890 来源:互联网 作者:佚名 2017-10-13 06:52 次阅读

近日周立功教授公开了数年的心血之作《程序设计与数据结构》,电子版已无偿性分享到电子工程师与高校群体下载,经周立功教授授权,特对本书内容进行连载。

>>>>1.1状态机

>>>1.1.1 有限状态机

1. 起源

自动机是计算机的简单理论模型,通常将自动机分为有限自动机和图灵机。尽管有限自动机更简单,但在定义图灵机之后数年,这个概念才被提出来。

沃伦·麦卡洛克当时正在研究脑部创伤治疗精神病人,他想研究出一种解释大脑如何工作的理论。沃尔特·皮茨最初被培养成为一位逻辑学学者,但是却在全新的数学生物物理学领域发表论文。两人于1942年相识,认识到他们对相同类型的问题感兴趣,于是开始联手研究彼此取长补短。他们发表了第一篇论文“神经活动中内在的思想逻辑演算”(A Logical Calculus of Ideas Immanent in Nervous Activity),在这篇论文中,他们借助细胞对神经元进行了建模。虽然每个细胞都有多个输入,但只有一个输出。一个细胞的输出必须成为另一个细胞的输入,输入的类型有两种——抑制的和兴奋的。如果兴奋的输入超过了一定阈值,且没有抑制输入,细胞将会被激活。虽然细胞的集合和它们之间的连接被两人称为神经网络,但他们没有意识到,这是大脑实际运作的简化模型,通过研究神经网络可以得知神经网络如何处理逻辑活动。他们的网络模型与神经元和人类的大脑具备相同的特征,因此他们希望自己的研究能够揭示人类逻辑推理的奥秘。

他们的论文引起了计算机专家约翰·冯·诺依曼和著名的数学家、哲学家诺伯特·维纳的注意,两位学者对这篇论文印象深刻。维纳看到了其中蕴含的力量,他意识到,这一观点具有广泛的适应性,可以发展出控制论。控制论将催生可以学习的机器的理念,反过来也会孕育人工智能。冯·诺依曼认识到,麦卡洛克和皮茨对细胞和细胞间连接的描述,同样可以应用到电子组件和计算中。他在《关于EDVAC的报告》(First draft of a report on the EDVAC)一文中对此进行了详细的描述,正是这篇论文奠定了现代计算机构建的基石。

另一个受到麦卡洛克和皮茨影响的人是马文·明斯基,1954年明斯基在他的博士论文中对神经网络进行了研究,展示了如何使用这些网络对自动机进行全面的描述。明斯基的著作《计算:有限和无限机器》是这一领域的经典之作,高屋建瓴地描述了自动机和计算理论。通过对比物理学,明斯基在这本书的前言中解释了这种使用理论机器研究的理论为什么能够发挥作用。

与物理学使用统计定义事件的方法不同,我们是用逻辑定义的计算或表达式。它们被联系在一起,不是通过几何或能量性质,而是通过它们与类似机器或类似定义之间的关系。我们能够使用机器组件进行简单的交互,应用最显而易见的逻辑命题。面对等价的现实物理机器时,我们必须解决极端复杂的分析等式。

自动机被划分为两类:一类具有有限内存,另一类具有无限内存下面只研究有限的一类。

2.有限状态机

有限状态机(Finite State Machine,FSM)是一种抽象的机制, 它包括有限数量的状态。因此FSM是一个状态集,值的一个有限集合。

闸机是一个常见的状态机,这是《敏捷软件开发——原则、模式与实战》一书中展示的一个经典示例。在这里,将以香港地铁站的闸机为例介绍有限状态机,其用例文本摘要如下:

通常闸机默认是关闭的,当闸机收到有效卡信息时,则打开闸机;当乘客通过后,则关闭闸机。如果有人非法通过,则闸机会发出连续的“滴、滴、滴……”报警声;如果闸机已经打开,而乘客还在刷卡,则闸机会发出“滴”的声音提示乘客,并显示“票价和余额,闸机已经打开,请通过,谢谢!”

FSM会响应“事件”而改变状态,即将每个“事件”实现为一个函数,当“事件”发生时,就意味着调用了一个函数。FSM也执行动作产生输出,所执行的动作是当前状态和输入事件的一个函数,其目的是执行系统的任务。

事件是指在某个时刻发生的事情,比如,闸机的“刷卡(card)”事件和“通过(pass)”事件,状态是系统的状态。事件表示时间点,状态表示时间段,状态对应对象接收的两次事件之间的时间间隔。比如,闸机可能处于的状态:Locked状态和Unlocked状态。

转换是从一个状态转移为另一个状态的路径,引发它的事件被称为事件触发器,简称触发。而转换可以触发动作——表示对象的某个方法的调用,比如,当事件card发生时,闸机从Locked状态转换为Unlocked状态并执行打开闸机动作。转换还有一个监护条件逻辑测试——或布尔测试,只有测试通过时转换才发生。

而事件可以是外部事件和内部事件,外部事件是在系统和它的执行者之间传递的事件,比如,按下一个键和一个来自传感器的中断都是外部事件。内部事件是在系统内部的对象之间传送的事件,比如,溢出异常是一个内部事件。可以用UML对4种事件建模:信号、调用、时间推移或状态的一次改变。信号或调用可以带有参数,参数值对转移(包括监护条件和动作的表达式)是可见的。

信号是一个异步事件,在实例间异步传递消息的通信规约。消息是一个具名对象,信号是消息的类型,象类一样,信号也有属性和操作。而信号事件是指发送或接收信号的事件,其差别在于信号是对象之间的消息,而信号事件是指在某时某刻发生的事情。

如果事件没有产生任何效果,则FSM保持状态不变。通常下一个状态依赖于当前状态和输入事件,有时状态转移会导致输出动作。在某些情况下,虽然一个事件不会立即导致状态转换,但它会影响随后的状态转换。如果事件已经产生,则可以将该情况保存为一个条件,在之后进行检验。

监护条件是由一个方括号括起来的布尔表达式,放在触发器事件的后面。其表示法为“事件[条件]”(Event[Condition]),条件是某一段时间内值为True或False。通常“事件”引起了“状态转换”,当事件发生时,为了发生转换,可选的“条件”的值必须为True,可选的“动作”作为结果被执行。

动作是与状态转换相关的可选的输出,动作执行了计算(调用相应的函数),作为状态转换的结果。事件导致状态转移,而动作是状态转移所产生的效果。动作在状态转移时被触发,执行后自行终止。

● 转换动作

转换动作是指从某一状态转换为另一状态时产生的动作,该动作也可能发生在状态转换至自身状态时。为了描述状态图中的动作,将状态转换表示为:事件/动作(Event/Action)或事件[条件]/动作(Event[Condition]/Action),比如,card/unlock。

考虑闸机状态图中的动作:当事件card发生时,闸机从Locked状态转换为Unlocked状态,发生在该状态转移中的动作是获取card信息。作为状态机的输出,该动作显示票价和余额并开锁。

通常多个动作可以和同一个状态转换关联,因为动作都是并发执行的,所以这些动作之间不能有任何的相互依赖关系。比如,不能同时发生两个并发的事件——计算余额和显示余额,因为这两个动作有先后顺序的依赖关系,在余额计算之前不无法显示出来。为了避开这个问题,可以引入“计算余额”的中间状态。动作“计算余额”在进入该状态时执行,动作“显示余额”在退出该状态时执行。

● 进入动作

进入动作是指在开始进入该状态时触发的即时动作,使用保留字“进入(entry)”表示,在状态框里表示为“进入/动作(entry/Action),即entry/[action-list]。”

● 退出动作

退出动作是指在离开该状态时触发的即时动作,使用保留字“退出(exit)”表示,在状态框里表示为“退出/动作(exit/Action),即exit/[action-list]。”

实例分析

由于状态图源自于用例,因此要从用例开发状态图。首先需要用例中的一个特定的场景,即从用例中的一条特定路径描述对象之间的交互,正常的业务序列详见图 4.8(a)。

图 4.8 闸机业务序列图

如果闸机在Locked状态收到card事件,则转移到Unlocked状态并执行unlock动作,此时闸机将它的状态改为Unlocked并调用unlock函数;如果闸机在Unlocked状态收到一个pass事件,则转移到Locked状态并执行lock动作,此时闸机将它的状态改为Locked并调用lock函数。

如图 4.9 (a)所示为正常的业务序列图对应状态图,由于闸机处于打开状态或关闭状态时,“card()”或“pass()”操作对应的处理是不同的,因此难以用一个序列图清晰地描绘对象交互与状态的关系。如果以业务实体(闸机)的状态(打开状态或关闭状态)为核心,将执行者与系统交互的具体操作称之为“事件”,比如,card()、pass()等。

图 4.9 闸机状态图

表4.1 闸机状态转移表

状态 状态 动作

这些图是由状态、事件、转换和动作组成的有向图,圆角矩形表示闸机的状态,闸机始终保持状态直到转换促使它改变状态。转换用导向箭头表示,通常由收到触发事件的元素发起。当输入的事件与有向边上的事件匹配时,闸机将会从一个状态转换到另一个状态。

其中包含了起始状态、触发转移事件、终止状态和要执行的动作,将其转换为状态转移表的表格,详见表 4.1。由于状态转移表列出了所有状态下,接收所有事件后的状态是如何变化的,因此很容易帮助发现遗漏了哪些状态转移。虽然状态转移表的优点是直观,但其缺点也是非常明显的,那就是难以确认状态转移的完整性。

显然,通用状态图与状态表描述状态机的行为,开发者可以很容易检查那些未知的,甚至没有处理的情况。比如,闸机在Unlocked状态下没有处理card事件的转移,且在Locked状态下也没有处理pass事件的转移。假设如果闸机在Locked状态收到一个非法pass事件,则状态不变并执行alarm动作,其业务序列图详见图 4.8(b)。如果乘客不熟悉流程,当闸机已经转移到Unlocked状态时,而乘客还在刷卡(card),则闸机会发出“滴”的声音提示乘客,并显示“票价和余额,闸机已经打开,请通过,谢谢!” 其业务序列图详见图 4.8(c),完整的闸机状态转移表详见表 4.2

4.2 完整的闸机状态转移表

起始状态 事件 结束状态 动作
Locke car Unloc unloc

在关闭状态下,当需要增加报警功能时,只需要新增一条事件/动作线,详见图 4.9(b)。同理,增加一条事件/动作线表示闸门在已经打开时继续刷卡的情况,详见图 4.9(c)。由于这两种情况不会改变闸机的状态,因此导向箭头起始和结束均为同一状态。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 有限状态机
    +关注

    关注

    0

    文章

    51

    浏览量

    10263
  • 状态机
    +关注

    关注

    2

    文章

    484

    浏览量

    27122
  • 周立功
    +关注

    关注

    38

    文章

    130

    浏览量

    37046
  • 自动机
    +关注

    关注

    1

    文章

    27

    浏览量

    9208

原文标题:周立功:状态机——有限状态机

文章出处:【微信号:Zlgmcu7890,微信公众号:周立功单片机】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    什么是有限状态机?如何解决传统有限状态机状态爆炸」问题?

    有限状态机(Finite State Machine,简称FSM)是一种用来进行对象行为建模的工具,其作用主要是描述对象在它的生命周期内所经历的状态序列以及如何响应来自外界的各种事件。
    的头像 发表于 02-17 16:09 818次阅读
    什么是<b class='flag-5'>有限状态机</b>?如何解决传统<b class='flag-5'>有限状态机</b>「<b class='flag-5'>状态</b>爆炸」问题?

    SaberRD状态机建模工具介绍(一)什么是状态机建模

    状态机建模是使用状态图和方程式的手段,创建基于混合信号的有限状态机模型的一种建模工具。
    的头像 发表于 12-05 09:51 438次阅读
    SaberRD<b class='flag-5'>状态机</b>建模工具介绍(一)什么是<b class='flag-5'>状态机</b>建模

    基于有限状态机的车身防盗报警的实现

    电子发烧友网站提供《基于有限状态机的车身防盗报警的实现.pdf》资料免费下载
    发表于 10-26 09:48 0次下载
    基于<b class='flag-5'>有限状态机</b>的车身防盗报警的实现

    什么是状态机状态机的种类与实现

    状态机,又称有限状态机(Finite State Machine,FSM)或米利状态机(Mealy Machine),是一种描述系统状态变化的模型
    的头像 发表于 10-19 10:27 3711次阅读

    有限状态机分割设计

    有限状态机分割设计,其实质就是一个状态机分割成多个状态机
    的头像 发表于 10-09 10:47 333次阅读

    BGP有限状态机有哪几种状态

    BGP有限状态机共有六种状态,分别是Idle、Connect、Active、OpenSent、OpenConfirm和Established。
    的头像 发表于 10-07 14:56 955次阅读

    什么是有限状态机有限状态机的四要素介绍

    如果一个对象(系统或机器),由若干个状态构成,在某种条件下触发这些状态,会发生状态相互转移的事件,那么此对象称之为状态机
    的头像 发表于 09-17 16:42 1552次阅读

    LSM6DSOX嵌入式有限状态机的使用和配置的信息

    本文档旨在提供有关 ST 的 LSM6DSOX 嵌入式有限状态机的使用和配置的信息。LSM6DSOX 可配置为由用户定义的运动模式激活中断信号生成。为此,最多可以为运动检测独立编程 16 组嵌入式有限状态机
    发表于 09-13 07:33

    ISM330DHCX嵌入式有限状态机的使用和配置信息

    本文档旨在提供有关 ST 的 ISM330DHCX嵌入式有限状态机的使用和配置的信息。ISM330DHCX 可配置为由用户定义的运动模式激活中断信号生成。为此,最多可以为运动检测独立编程 16 组嵌入式有限状态机
    发表于 09-08 08:00

    LSM6DSOX嵌入式有限状态机的使用和配置的信息

    本文档旨在提供有关 ST 的 LSM6DSOX 嵌入式有限状态机的使用和配置的信息。LSM6DSOX 可配置为由用户定义的运动模式激活中断信号生成。为此,最多可以为运动检测独立编程 16 组嵌入式有限状态机
    发表于 09-06 06:36

    三段式,四段式状态机设计方法是什么(状态机设计注意事项)

    有限状态机,简称状态机,通俗的说,就是把全部的情况分成几个场景,这些场景的工作方式明显不同。简单来说就是如下所示的状态转移图
    发表于 08-31 15:30 608次阅读
    三段式,四段式<b class='flag-5'>状态机</b>设计方法是什么(<b class='flag-5'>状态机</b>设计注意事项)

    一个基于事件驱动的有限状态机

    EFSM(event finite state machine,事件驱动型有限状态机),是一个基于事件驱动的有限状态机,主要应用于嵌入式设备的软件系统中。 EFSM的设计原则是:简单!EFSM的使用者只需要关心:
    的头像 发表于 08-30 09:28 454次阅读
    一个基于事件驱动的<b class='flag-5'>有限状态机</b>

    LSM6DSOX嵌入式有限状态机的使用和配置

    电子发烧友网站提供《LSM6DSOX嵌入式有限状态机的使用和配置.pdf》资料免费下载
    发表于 07-31 10:55 0次下载
    LSM6DSOX嵌入式<b class='flag-5'>有限状态机</b>的使用和配置

    Verilog状态机的类型

    有限状态机(Finite-State Machine,FSM),简称状态机,是表示有限状态以及在这些状态之间的转移和动作等行为的数学
    的头像 发表于 06-01 15:23 1269次阅读
    Verilog<b class='flag-5'>状态机</b>的类型

    FPGA中有限状态机状态编码采用格雷码还是独热码?

    有限状态机是由寄存器组和组合逻辑构成的硬件时序电路,其状态(即由寄存器组的1和0的组合状态所构成的有限状态)只可能在同一时钟跳变沿的情况下
    的头像 发表于 04-07 09:52 925次阅读