0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Xilinx VCU118评估套件实现四通道28Gbps光纤高速数据传输

Hx 作者:工程师陈翠 2018-07-08 06:02 次阅读

美国光纤通讯展览会及研讨会(Optical Fiber Communication Conference & Exposition) 是由美国光学学会(OSA)、美国通信学会 (IEEE/ComSoc)、美国激光与光电协会(IEEE/LEOS) 联合组办。 OFC是全球光电光通讯展览中最重要的活动,已被公认为光通信领域中全球规格最高、规模最大、历史最悠久、专业性最强、影响力最大的国际性盛会。在OFC 2017大会上Samtec公司展示了一个DEMO:借助Xilinx VCU118评估套件以及其FireFly FQSFP双芯线缆实现四通道28Gbps高速数据通信,并且零错误。

Xilinx VCU118评估套件集成的是Xilinx Virtex UltraScale+ VU9P FPGA器件,它采用的是目前最先进的FinFET结构设计,提供最高的性能以及灵活的系统集成功能,具备丰富的高速串行I/O接口以及更高的信号处理带宽,片上存储资源也很丰富。该评估套件主要用于高达1+ Tb/s的网络和数据中心系统以及完全集成的雷达/预警系统的原型设计与开发。

Xilinx VCU118评估套件特性:

Dual 80-bit DDR4 存储组件

RLDRAM3(2x36-bit)存储颗粒

2个QSFP28接口

PCIe Gen3 x16接口

VITA 57.4 FMC+和VITA 57.1 FMC标准接口

Samtec FireFly通信接口以及FireFly Loopback线缆

这个演示实例主要采用的是Xilinx VU9P FPGA集成的120 GTY收发器(传输速率最高支持32.75Gpbs)实现的一个数据环路传输,外部传输通道采用的是Samtec FireFly Flyover组件以及QSFP光纤接口。QSFP(Quad Small Form-factor Pluggable)即四通道SFP接口,它是为了满足市场对更高密度的高速可插拔解决方案需求而诞生的,四通道接口传输速率可达到40Gbps。

除此之外Samtec FireFly flyover模块的使用将信号传输路径脱离的传统的PCB设计,最直接的变化就是使得PCB的设计简化,缩小尺寸,降低PCB的生产成本,同时也不用在纠结高速数据传输相关的信号集成和传输阻抗等问题。

图2:Samtec公司推出的Flyover QSFP28高速数据传输模块

数据传输速率的增加都会暴露一些在低速传输时不曾出现的问题,随着应用需求的不断提升传统的PCB走线方式已经满足不了要求,因此将这部分剥离出来,采用专用的传输组件是一种更优的解决方案。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Xilinx
    +关注

    关注

    70

    文章

    2117

    浏览量

    119339
收藏 人收藏

    评论

    相关推荐

    基于FPGA的高速LVDS数据传输

    22.4Gbps(Kintex-7).2. 1对LVDS接收时钟+16对LVDS接收数据.本人可以提供FPGA源代码.同时还可以在Xilinx评估板ML555/ML605/KC705上
    发表于 03-01 18:47

    四通道SDI视频聚合与解聚参考设计包括主板和子卡示意图

    描述这款经过验证的参考设计是一个完整的四通道 SDI 聚合与解聚解决方案。使用一个 TLK10022 将个同步 HD-SDI 源聚合到一条 5.94 Gbps 串行链路中。串行数据
    发表于 08-10 06:05

    光纤通道应用的高数据传输接口设计问题怎么解决?

    随着存储技术的迅速发展,存储容量得到了迅速的增长,存储系统的数据传输速度成为了主要的瓶颈。光纤传输具有其速度上的优势,然而,在光纤传输要受
    发表于 08-22 08:06

    如何修改VCU118板包以使此端口可用?

    我正在研究根端口的枚举,我需要使用PCIe端口X0Y1,但GUI没有它可用。我使用HTG-FMC-PCIE子卡作为第二个PCIe端口。它需要此端口能够作为x4端口使用bank 121进行连接。所有连接都在那里,但GUI阻止进度,只允许X0Y3。如何修改VCU118板包以使此端口可用?
    发表于 10-09 08:52

    如何获取和添加vcu118板信息到工具?

    大家好,我们有一块VCU118板,在我的电脑上安装了Vivado 2017.1!如果我创建一个新项目但我在Default Part窗口中找不到vcu118板信息!任何人都知道如何获取和添加vcu118板信息到工具!感谢您的支持!
    发表于 10-10 09:26

    请问我可以在VCU118上使用相同的子卡吗?

    嗨专家,我有一张子卡连接到VCU108上的J22和J2端口。我可以在VCU118上使用相同的子卡吗?问候,伊万。
    发表于 10-14 07:07

    请问VCU118板文档错误该怎么办?

    你好,同事们2016年12月15日VCU118用户指南UG1224(v1.0)似乎有错误在第47页上说VCU118评估板具有SI570I²C可编程低抖动3.3V LVDS差分振荡器(U38),分别
    发表于 10-14 09:43

    vcu118评估板FPGA无法配置

    现在我正在评估vcu118 board rev2.0的新设计。并且FPGA配置问题阻碍了时间表。通过JTAG模式下载的位文件(将SW16设置为0101)可以正常工作。但是当我使用主SPI模式(将
    发表于 10-21 06:24

    Vivado无法识别VCU118 JTAG的原因?

    当Iam尝试将VCU118连接到Vivado时,它无法识别该设备。我手动安装了驱动程序(https://www.xilinx.com/support/answers/59128.html)。我也试过将USB驱动器改为digilent但仍然无法识别。在这里,我附上了快照。你
    发表于 06-09 09:28

    可以通过微网格设计实现高速数据传输吗?

    嗨,我们可以通过微网格设计实现高速数据传输吗?我正在使用Microlaze连接Aurora(3.125 Gbps)。数据正在转移,这在con
    发表于 08-26 14:35

    四通道双向数字隔离器ADuM2401相关资料下载

    概述:ADuM2401是ANALOG DEVICES生产的一款具有四通道、双向隔离功能,最高支持90 Mbps的数据传输速率的集成电路。它满足ULl577标准规定的5.0kV额定隔离电压,并且与医用
    发表于 05-17 07:09

    怎么实现基于FPGA的具有流量控制机制的高速串行数据传输系统设计?

    本文介绍了基于Xilinx Virtex-6 FPGA的高速串行数据传输系统的设计与实现,系统包含AXI DMA和GTX串行收发器,系统增加了流量控制机制来保证
    发表于 05-25 06:45

    一个完整的四通道SDI聚合与解聚解决方案

    描述这款经过验证的参考设计是一个完整的四通道 SDI 聚合与解聚解决方案。使用一个 TLK10022 将个同步 HD-SDI 源聚合到一条 5.94 Gbps 串行链路中。串行数据
    发表于 09-20 06:46

    基于FPDP的高速数据传输系统设计

    基于FPDP的高速数据传输系统设计 随着电子技术的高速发展,越来越多的信号处理系统,需要高速数据采集和大吞吐量的
    发表于 12-01 09:41 2048次阅读
    基于FPDP的<b class='flag-5'>高速</b><b class='flag-5'>数据传输</b>系统设计

    Xilinx UltraScale+ FPGA和MPSoC互连宽度的使用

    从19到32Gb / s的互连宽度正在迅速扩大。 了解Xilinx UltraScale +™FPGA和MPSoC如何直接使用这些互连,以及KCU116和VCU118评估套件如何快速
    的头像 发表于 11-22 07:21 2905次阅读