0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于STM32平台实现SVPWM调制

GReq_mcu168 来源:小麦大叔 作者:小麦大叔 2022-05-16 17:42 次阅读

SVPWM

SVPWM是空间矢量脉宽调制(Space Vector Pulse Width Modulation)的简称,通常由三相逆变器的六个功率开关管组成,经过特定的时序和换相所所产生的脉冲宽度调制波,最终输出的波形可能会十分接近理想的正弦波形。具体如下图所示;左侧为复平面,即空间矢量,右侧为时域的正弦波形。

c3131f78-d34d-11ec-bce3-dac502259ad0.gif关于SVPWM原理的文章非常多,这里可以推荐一下网上一个非常不错的教程《SVPWM的原理及法则推导和控制算法详解第五修改版》,本文将如何实现SVPWM进行简单的介绍。

IQMATH

TI的片子很香,控制方面,TI无疑是做的最好的方案之一,相对来说资料也非常齐全;另外TI针对没有浮点运算器的定点DSP推出了IQMATH库,在使用Q格式对数据进行分析和处理的过程中,十分方便,代码也变得更加简洁,本文将使用TI的提供的SVPWM算法基于STM32平台实现SVPWM调制。

测试平台参数硬件stm32f103软件:标准外设库3.5IDE:MDK-ARM

IQmathLib

本文使用了IQMathLibCortex-M3版本,这样一来,对于没有浮点处理器的定点MCU来说,对数据统一进行Q格式的处理会变得更加便捷,并且高效;

首先将IQmathlib解压可以得到如下文件,其中包含各个平台下的静态库,本文使用STM32F1keil环境下进行开发,需要使用的是rvmdk-cm3c35e53c6-d34d-11ec-bce3-dac502259ad0.png打开一个keil工程,在菜单界面点击如下图所示的图标进入project items


c3735c76-d34d-11ec-bce3-dac502259ad0.png

添加IQmath组,并添加rvmdk-cm3路径下的静态库,和头文件;


c391c094-d34d-11ec-bce3-dac502259ad0.png

点击下图所示的图标进入工程熟悉的设置;


c3d561d2-d34d-11ec-bce3-dac502259ad0.png

添加rvmdk-cm3静态库的路径,和头文件的包含路径,如下图所示;

c41993ca-d34d-11ec-bce3-dac502259ad0.pngc45661f6-d34d-11ec-bce3-dac502259ad0.png最终,build整个工程即可。

测试部分程序

/**
#include"stm32f10x.h"
#include
#include

#include"serial_scope.h"
#include"common.h"
#include"IQmathLib.h"
#include"usart_driver.h"
#include"clarke.h"
#include"park.h"
#include"svpwm.h"

/**
*@briefMainprogram.
*@paramNone
*@retvalNone
*/
sv_mod_tsvpwm=SVGEN_DEFAULTS;

#defineCLARK0
#definePARK1
#defineSVPWM2
#defineSVPWM_REG3

intmain(void)
{
intuser_data[4]={0};
staticint16_ttime_cnt=0;
Trig_Componentsa;
Trig_Componentsb;
_iqfinal_angle;
usart_init();

while(1)
{
time_cnt-=32;

clarke_parameter.As=_IQsinPU(time_cnt);
clarke_parameter.Bs=_IQsinPU(time_cnt-0x5555);

if(clarke_parameter.As>32767){
clarke_parameter.As=32767;
}
if(clarke_parameter.As< -32768){
clarke_parameter.As=-32768;
}

if(clarke_parameter.Bs>32767){
clarke_parameter.Bs=32767;
}
if(clarke_parameter.Bs< -32768){
clarke_parameter.Bs=-32768;
}

clarke_calc(&clarke_parameter);

park_parameter.Alpha=clarke_parameter.Alpha;
park_parameter.Beta=clarke_parameter.Beta;

park_parameter.Sin=trig_functions(time_cnt).hsin;
park_parameter.Cos=trig_functions(time_cnt).hcos;
park_parameter.Angle=-time_cnt;
park_calc(&park_parameter);

svpwm.Ualpha=clarke_parameter.Alpha;
svpwm.Ubeta=clarke_parameter.Beta;

svpwm_calc(&svpwm);

#defineFOC_DEBUGSVPWM_REG
#if(FOC_DEBUG==CLEAK)
user_data[0]=clarke_parameter.As;
user_data[1]=clarke_parameter.Bs;
user_data[2]=clarke_parameter.Alpha;
user_data[3]=clarke_parameter.Beta;
#elif(FOC_DEBUG==PARK)
user_data[0]=clarke_parameter.As;
user_data[1]=clarke_parameter.Bs;
user_data[2]=park_parameter.Ds;
user_data[3]=park_parameter.Qs;
#elif(FOC_DEBUG==SVPWM)
user_data[0]=(uint16_t)svpwm.Ta;
user_data[1]=(uint16_t)svpwm.Tb;
user_data[2]=(uint16_t)svpwm.Tc;
user_data[3]=svpwm.VecSector*5000;
#elif(FOC_DEBUG==SVPWM_REG)

//换算的CCRx寄存器的值
sv_regs_mod_tsv_regs=svpwm_get_regs_mod(7200,&svpwm);

user_data[0]=sv_regs.ccr1;
user_data[1]=sv_regs.ccr2;
user_data[2]=sv_regs.ccr3;
user_data[3]=svpwm.VecSector*1000;
#endif
SDS_OutPut_Data_INT(user_data);
}
return0;
}

最终通过串口输出串口图形化软件的Ta,Tb,Tc 如下图所示;c4c393f2-d34d-11ec-bce3-dac502259ad0.png

关于STM32的配置,需要配置三路互补PWM波形输出;例如配置了TIM1CH1CH2,CH3这三路PWM输出,然后可以把TaTbTc的值分别赋值给CCR1CCR2CCR3即可;

具体如下图所示;左侧是复平面的矢量合成动态图;右侧是三路PWM输出通道的比较状态;

c4dfa8da-d34d-11ec-bce3-dac502259ad0.gif开关状态  

原文标题:通俗易懂!这篇SVPWM来晚了!

文章出处:【微信公众号:硬件攻城狮】欢迎添加关注!文章转载请注明出处。

审核编辑:汤梓红
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • ti
    ti
    +关注

    关注

    111

    文章

    8136

    浏览量

    210909
  • 调制
    +关注

    关注

    0

    文章

    152

    浏览量

    29547
  • STM32
    +关注

    关注

    2239

    文章

    10665

    浏览量

    348518
  • SVPWM
    +关注

    关注

    14

    文章

    611

    浏览量

    90202

原文标题:通俗易懂!这篇SVPWM来晚了!

文章出处:【微信号:mcu168,微信公众号:硬件攻城狮】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    基于DSP ACE-RCP的SVPWM调制技术实现

    为验证基于dSPACE的SVPWM调制方式的可行性与实用性,搭建了以dSPACE和IPM为核心的异步电机变频调速系统实时仿真实验平台。利用simulink的RTW功能可将Matlab
    发表于 11-17 16:10

    SVPWM调制方式是如何去实现

    SVPWM的原理是什么?SVPWM调制方式是如何去实现的?PWM逆变器基本输出电压矢量有哪些?
    发表于 08-03 06:39

    SVPWM的基本实现方法

    回顾一下上篇《SVPWM基础篇》里我们讲到的SVPWM的基本实现方法,有以下基本结论:(1) SVPWM方式下的电机线-线电压的正弦波峰值可以等于母线电压,母线电压利用率为1(2)
    发表于 08-27 06:53

    SVPWM该如何去实现

    回顾一下上篇《SVPWM基础篇》里我们讲到的SVPWM的基本实现方法,有以下基本结论: (1) SVPWM方式下的电机线-线电压的正弦波峰值可以等于母线电压,母线电压利用率为1 (2)
    发表于 08-27 06:04

    采用FPGA来实现SVPWM调制算法

    的技术性能,当今国内外生成的变压变频器几乎都已采用这项技术。目前在逆变器控制领域广泛使用DSP来实现SVPWM调制算法,具有硬件简单、灵活性好等特点。但是PWM波的产生需要定时的采样与计算,从而
    发表于 01-20 09:34

    如何实现SVPWM调制

    如何实现SVPWM调制
    发表于 02-17 07:44

    基于STM32F103的SVPWM算法实现

    基于STM32F103的SVPWM算法实现
    发表于 03-22 12:12 85次下载

    基于DSP的空间矢量脉宽调制(SVPWM)的实现

    基于DSP的空间矢量脉宽调制(SVPWM)的实现
    发表于 03-18 16:56 3次下载

    磁场定向控制中SVPWM调制策略的改进与实现

    磁场定向控制中SVPWM调制策略的改进与实现
    发表于 04-13 15:42 20次下载

    基于FPGA的三相SVPWM调制算法的实现

    基于FPGA的三相SVPWM调制算法的实现
    发表于 04-18 09:47 23次下载

    基于TMS320LF2407的三维SVPWM调制的算法实现

    基于TMS320LF2407的三维SVPWM调制的算法实现
    发表于 04-19 13:57 10次下载

    空间电压脉宽调制SVPWM的原理及DSP的实现

    空间电压脉宽调制SVPWM的原理及DSP的实现
    发表于 03-30 18:24 8次下载

    一种新型SVPWM调制方法的研究与实现

    一种新型SVPWM调制方法的研究与实现
    发表于 03-30 14:40 7次下载

    SVPWM调制模式实现定U_f变频调速

    SVPWM调制模式实现定U_f变频调速
    发表于 03-30 14:40 6次下载

    SVPWM调制模式实现定V_F变频调速

    SVPWM调制模式实现定V_F变频调速
    发表于 03-30 14:40 11次下载