0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

良率堪忧,三星3nm丢失大客户高通!领先台积电还看2nm?

Carol Li 来源:电子发烧友网 作者:李弯弯 2022-02-25 09:31 次阅读

电子发烧友网报道(文/李弯弯)三星在3nm领先台积电的愿望又要落空了。据外媒日前报道,因为担心三星的良率过低,大客户高通已将3nm AP处理器代工订单交给台积电。

台积电和三星是全球领先的两大芯片代工厂商,正在推进3nm工艺,按照计划,三星预计在今年上半年实现3nm代工量产,而台积电预计在今年下半年实现量产。

台积电在先进制程上一直领先三星,获得了不少大客户的信赖,苹果、AMD英特尔联发科等在3nm上都倾向于将订单给台积电,而三星仅有大客户高通,如今连高通也丢失了。

3nm工艺量产能否如期而至?

三星在2021年6月便已经顺利实现流片,并表示将在今年上半年实现量产,然而有研究机构预计,三星的3nm制程工艺不大可能在2023年前量产。

三星3nm工艺采用的是全环绕栅极晶体管(GAA)技术,而不是沿用之前成熟的鳍式场效应晶体管(FinFET)技术,业界人士认为,三星在该新技术的研发方面仍然面临挑战,还有关键技术问题尚未得以解决。

三星在先进制程方面的良率是个很大的问题,最近该公司还陷入了一桩丑闻,部分在职员工、前员工涉嫌伪造和虚报5nm、4nm、3nm工艺制程的良品率。

高通之所以将3nmAP处理器转单台积电,正是因为对三星的代工良率失去信任。据悉,由三星代工的高通Snapdragon 8 Gen 1成品率仅为35%左右。高通在去年就已经将4nm AP处理器Snapdragon 8 Gen 1部分代工订单给了台积电。

一直以来,三星在工艺制程、产品良率、客户方面都不及台积电,不甘落后的三星,在7nm、5nm工艺未能领先,便对3nm寄予厚望。而如今几乎没有什么大的芯片厂商倾向于采用三星的3nm代工,而且技术和良率上还有待突破,三星或许真的无法如期量产3nm,一旦如此,三星赶上台积电的梦想也只能看更先进制程2nm了。

不过毕竟是比较新的工艺,不仅三星,台积电也传出不能按预期量产3nm。据知情人士透露,台积电在3nm工艺上也遭遇了良率难题,为了达到满意的良品率,目前台积电也在不断修正,这也可能影响AMD、英伟达等部分客户的产品路线,不过台积电并未对此回应。

此前台积电曾多次对外表示在按计划推进,在今年1月份的财报分析师电话会议上,台积电CEO魏哲家就表示,3nm制程工艺在按计划推进,将在今年下半年量产,明年一季度将看到3nm工艺的营收。

台积电3nm是全新节点的工艺,与加强版5nm工艺、4nm工艺和N4X工艺有着本质上的区别,在晶体管数量方面,其逻辑密度可以提升1.7倍,从而带来11%的性能提升;功耗方面,3nm工艺也将实现同等性能下可以降低 25%-30%。

与三星不同的是,台积电仍然采用成熟的鳍式场效晶体管(FinFET)结构,这会比三星采用新的全环绕栅极晶体管(GAA)技术更容易推进,而且据行业人士透露,虽然没有采用更新的技术,台积电依然能够实现超高性能和良好的功耗表现。

将在2nm工艺领先台积电?

在3nm工艺上尝试采用全环绕栅极晶体管(GAA)技术,而不是像台积电那样沿用原本成熟的鳍式场效晶体管(FinFET)架构,这或许也从另一方面透露出,三星或许并不是想在3nm上领先台积电,它的目标应该是2nm。

现如今,鳍式场效晶体管(FinFET)结构的潜力已经几乎被挖掘殆尽,随着工艺节点发展到3nm后,晶体管沟道进一步缩短,FinFET结构将遭遇量子隧穿效应的限制。

根据国际器件和系统路线图(IRDS)规划,2021-2022年以后,鳍式场效晶体管(FinFET)架构将逐步被全环绕栅极晶体管(GAA)所取代。

对于台积电来说,为了减少生产工具以及客户设计的变更,3nm沿用鳍式场效晶体管(FinFET)结构,然而到了2nm,将不得不采用类似全栅场效应晶体管(GAAFET)结构。

全栅场效应晶体管(GAAFET)结构可以通过更大的闸极接触面积,提升对电晶体导电通道的控制能力,从而降低操作电压、减少疏漏电流,有效降低芯片运算功耗与操作温度,比如,GAAFET技术将沟道四侧全部包裹,FinFET的栅极仅包裹沟道三侧。

据悉,三星3nm GAAFET工艺采用多桥式-沟道场效应晶体管(MBCFET)晶体管结构,与当前的5nm工艺相比,面积减少35%,性能提高30%,功耗降低50%。

三星优先于台积电在3nm时使用GAAFET技术,可能并不是想要在3nm工艺上领先台积电,而更多的是提前掌握和熟悉该技术,这样可以在后续的2nm、1nm工艺上具有领先优势。

三星在3nm工艺上想要超越台积电是很难的,首先采用的是新的GAAFET技术,这需要更多时间、更多人力、财力去研究,而良率在刚开始的时候,估计不会很高,成本必然也不低,三星自己应该早就意识到这一点,以新技术去与台积电的成熟技术对抗,在时间、成本、良率方面都不占优势,更何况大的芯片厂商苹果、AMD、联发科、英伟达、英特尔等都倾向于信赖台积电。

而如果是把领先的目标定在2nm,可能性或许就高了很多,当台积电在2nm才首次转入GAAFET工艺时,三星已经在该技术上有多年经验了,这就更可能取得突破,抢到客户。

不过虽然想象是美好的,三星要想实现超越台积电还是很有难度,毕竟台积电长期在人才、技术、良率、客户方面的积累足够深厚,即使是从FinFET技术转向GAAFET架构,可能也会比三星更容易、更快实现。

总结

简言之,3nm工艺整体可能推迟量产,三星良率过低、丢失大客户高通,都将让其在与台积电的竞争中,处于更为不利的位置,而提前尝试新技术,能否让其在2nm甚至1nm工艺上领先也是未知数,对于三星来说可谓前路漫漫。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 三星电子
    +关注

    关注

    34

    文章

    15602

    浏览量

    180111
  • 台积电
    +关注

    关注

    43

    文章

    5267

    浏览量

    164789
  • 3nm
    3nm
    +关注

    关注

    2

    文章

    220

    浏览量

    13707
收藏 人收藏

    评论

    相关推荐

    台积电冲刺2nm量产,2nm先进制程决战2025

    人员接手试产及量产作业的种子团队,推动新竹宝山和高雄厂于 2024年同步南北试产、2025年量产。   从1971的10000nm制程到5nm,从5nm3nm
    的头像 发表于 08-20 08:32 2151次阅读
    台积电冲刺<b class='flag-5'>2nm</b>量产,<b class='flag-5'>2nm</b>先进制程决战2025

    战略调整:冲刺2nm,大扩产.

    行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年03月26日 16:34:54

    三星电子澄清:3nm芯片并非更名2nm,下半年将量产

    李时荣声称,“客户对代工企业的产品竞争力与稳定供应有严格要求,而4nm工艺已步入成熟良率阶段。我们正积极筹备后半年第二代3nm工艺及明年2nm工艺的量产,并积极与潜在
    的头像 发表于 03-21 15:51 154次阅读

    台积电3nm2nm工艺稳定性获业界认可,客户鲜有转单意向

    最近流传的一份谣言显示,包括AMD、高通、MediaTek和NVIDIA在内的一批企业似乎有意将一部分3nm2nm的晶圆制造订单交由三星或者英特尔代为生产。然而,另一位知情人士表示,尽管NVIDIA与
    的头像 发表于 12-12 15:40 291次阅读

    2nm意味着什么?2nm何时到来?它与3nm有何不同?

    3nm工艺刚量产,业界就已经在讨论2nm了,并且在调整相关的时间表。2nm工艺不仅对晶圆厂来说是一个重大挑战,同样也考验着EDA公司,以及在此基础上设计芯片的客户
    的头像 发表于 12-06 09:09 823次阅读

    今日看点丨三星透露:已和大客户接洽2nm、1.4nm代工服务;广汽埃安 AION S Max 纯电轿车正式上市

    1. 三星透露:已和大客户接洽2nm 、1.4nm 代工服务   三星旗下晶圆代工部门Samsung Foundry首席技术官Jeong K
    发表于 10-27 11:14 763次阅读
    今日看点丨<b class='flag-5'>三星</b>透露:已和<b class='flag-5'>大客户</b>接洽<b class='flag-5'>2nm</b>、1.4<b class='flag-5'>nm</b>代工服务;广汽埃安 AION S Max 纯电轿车正式上市

    2nm芯片什么时候出 2nm芯片手机有哪些

    2nm芯片什么时候出 2nm芯片什么时候出这个问题目前没有相关官方的报道,因此无法给出准确的回答。根据网上的一些消息台积电于6月16日在2022年度北美技术论坛上首次宣布,将推出下一代先进工艺制程
    的头像 发表于 10-19 17:06 903次阅读

    2nm芯片是什么意思 2nm芯片什么时候量产

    2nm芯片是什么意思 2nm芯片指的是采用了2nm制程工艺所制造出来的芯片,制程工艺的节点尺寸表示芯片上元件的最小尺寸。这意味着芯片上的晶体管和其他电子元件的尺寸可以达到2纳米级别。 更小的节点尺寸
    的头像 发表于 10-19 16:59 2256次阅读

    2nm芯片工艺有望破冰吗?

    芯片2nm
    亿佰特物联网应用专家
    发布于 :2023年10月11日 14:52:41

    高通或成为台积电3nm制程的第三家客户

    苹果已经发布了基于台积电3nm制程的A17 Pro处理器。最近,有消息称,高通的下一代5G旗舰芯片也将采用台积电3nm制程,并预计会在10月下旬公布,成为台积电3nm制程的第三个客户
    的头像 发表于 09-26 16:51 1441次阅读

    三星3nm GAA正式商业量产

    一篇拆解报告,称比特微电子的Whatsminer M56S++矿机所用的AISC芯片采用的是三星3nm GAA制程工艺。这一发现证实了三星3nm GAA技术的商业化应用。
    的头像 发表于 07-21 16:03 1055次阅读

    三星3nm良率已经超过台积电?

    目前三星在4nm工艺方面的良率为75%,稍低于台积电的80%。然而,通过加强对3nm技术的发展,三星有望在未来赶超台积电。
    的头像 发表于 07-19 16:37 3210次阅读

    台积电放弃28nm工厂,改建2nm

    据了解,台积电已将高雄厂敲定2nm计划向经济部及高雄市政府提报,希望政府协助后续供水及供电作业。因2nm制程将采用更耗电的极紫外光(EUV)微影设备,耗电量比位于南科的3nm更大,台积电高雄厂改为直接切入
    的头像 发表于 07-18 15:19 720次阅读
    台积电放弃28<b class='flag-5'>nm</b>工厂,改建<b class='flag-5'>2nm</b>?

    2nm大战 全面打响

    在芯片制造领域,3nm方兴未艾,围绕着2nm的竞争已经全面打响。
    的头像 发表于 06-28 15:58 494次阅读
    <b class='flag-5'>2nm</b>大战 全面打响

    MLCC龙头涨价;车厂砍单芯片;28nm设备订单全部取消!

    季度里,来自中国市场的营收将大幅增长。 【三星4nm接近
    发表于 05-10 10:54