0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

多重复性功能模块情况下如何下调用已有模块

凡亿PCB 来源:凡亿PCB 作者:凡亿PCB 2022-01-04 15:24 次阅读

在层次原理图中,怎么去调用已经创建好的模块呢?

答:在层次原理图中,我们之前做好的模块如果是一样的,是可以重复调用的,这样就大大节省了我们设计的时间,特别是有很多重复性的功能模块情况下,下面我们讲解下调用已有模块的方法:

第一步,创建分级的模块Hierarchical Block,在原理图设计的页面,执行命令Place-》Hierarchical Block…,如图3-119所示:

b80507f8-6a03-11ec-96c7-dac502259ad0.png

图3-119 层次原理图创建分级模块示意图

第二步,在弹出的对话框中,输入分级模块的名称,如图3-120所示,选择合适的参数,一般如图3-120所示设置即可,点击OK,则层次式原理图分级模块创建完毕;

b83dfd6a-6a03-11ec-96c7-dac502259ad0.png

图3-120 层次原理图分级模块参数设置示意图

第三步,在原理图页面画出合适的分级模块框框的大小,这个也可以后面进行调整,如图3-121所示,用于分配子端口的以及总线的位置;

b8580ee4-6a03-11ec-96c7-dac502259ad0.png

图3-121 层次原理图分级模块方框设置示意图

第四步,在当前项目中新建一个文件夹(文件夹名须与Implementation Nane的名字一致),将需要调用的模块原理图页面拷贝到文件夹下,如图3-122所示;

b88701a4-6a03-11ec-96c7-dac502259ad0.png

图3-122 拷贝调用的模块示意图

第五步,进入到放置Hierarchical Block的页面,选中已放置好的框并右击选取Synchronize Up,然后在此框边缘会出面子原理图页面中的Hierarchical Port。最后对Hierarchical Port属性进行编辑即可,这样就完成了相同模块的复用,然后对相同的位号进行重新编号即可。

凡亿是国内领先的电子研发和技术培训提供商,是国家认定的高新技术企业。以“凡亿电路”“凡亿教育”作为双品牌战略,目前近110万电子会员,技术储备为社会持续输送7万余人高级工程师,服务了1万多中小型企业合作伙伴。凡亿教育打通了“人才培养+人才输送”的闭环,致力于做电子工程师梦工厂,打造“真正有就业保障的电子工程师职业教育平台”。帮助电子人快速成长,实现升职加薪。仅2020年,选择凡亿教育来提升职业技能的电子人已超20万。为了满足学员多样化学习需求,凡亿教育课程开设了硬件PCB仿真电源EMCFPGA电机嵌入式单片机物联网人工智能等多门主流学科。目前,凡亿教育毕业学员九成实现涨薪,八成涨薪超20%,最高涨幅达200%,就业企业不乏航天通信、同步电子、视源股份,华为等明星企业。

原文标题:【知识分享】53. 在层次原理图中,怎么去调用已经创建好的模块呢?

文章出处:【微信公众号:凡亿PCB】欢迎添加关注!文章转载请注明出处。

审核编辑:彭菁
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 原理图
    +关注

    关注

    1267

    文章

    6180

    浏览量

    225595
  • 模块
    +关注

    关注

    7

    文章

    2480

    浏览量

    46522
  • 编辑
    +关注

    关注

    0

    文章

    28

    浏览量

    11517

原文标题:【知识分享】53. 在层次原理图中,怎么去调用已经创建好的模块呢?

文章出处:【微信号:FANYPCB,微信公众号:凡亿PCB】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    测量系统分析:测量系统的的重复性和重现性

    测量系统分析:测量系统的的重复性和重现性
    发表于 08-16 13:44

    SIMULINK功能模块的处理

    为一种复合信号,是多个信号的集合,它对应着系统中几条连线的合成。缺省情况下,大多数模块的输出都为标量信号,对于输入信号,模块都具有一种“智能”的识别功能,能自动进行匹配。某些
    发表于 07-13 14:19

    具有10MHz的可重复性能的有源滤波器模块LT1568

    DN324精密有源滤波器模块,具有10MHz的可重复性
    发表于 06-06 16:45

    测量系统的的重复性和重现性

    测量系统的的重复性和重现性:在MSA中的相当重要的一部分是研究测量系统的重复性(Repeatability)和重现性(Reproducibility). 在六个西格玛的方法论中, 也是每个项目都必须要完成的工作.
    发表于 08-16 13:14 17次下载

    相控阵探头的重复性与可靠性

    相控阵探头的重复性与可靠性 Over the past few years, new procedures involving phased array technology were
    发表于 03-20 10:45 24次下载

    SIMULINK功能模块的处理

    SIMULINK功能模块的处理功能模块的基本操作,包括模块的移动、复制、删除、转向、改变大小、模块命名、颜色设定、参数设定、属性设定、模块
    发表于 06-19 12:50 2239次阅读

    MAX+PLUSⅡ的参数化兆功能模块库的使用

    实验五、MAX+PLUSⅡ的参数化兆功能模块库的使用一  实验目的1进一步掌握MAX+PLUSⅡ参数化兆功能模块库的使用。2了解参数化兆功能模块库LP
    发表于 03-13 19:23 1461次阅读
    MAX+PLUSⅡ的参数化兆<b class='flag-5'>功能模块</b>库的使用

    并行传感器如何提高倾斜测量的精度和可重复性

    并行传感器如何提高倾斜测量的精度和可重复性?具体的跟随小编通过本文来详细的了解下。
    的头像 发表于 07-11 13:27 3118次阅读

    QuartusⅡ中宏功能模块的使用

    QuartusⅡ软件针对常用的功能,提供了参数化(Parameterized)的宏功能(megafunctions)模块,通过调用功能模块
    发表于 04-03 15:34 12次下载

    模块化设计中的一些小技巧

    模块化设计,可能通常我们的工程师还用的不多,但它在某些场合下还是很有用的,一个是它能减少我们重复性的工作。 在进行原理图设计的时候,有些功能模块重复调用多次,这时候可以采取
    发表于 04-17 14:43 2051次阅读
    <b class='flag-5'>模块</b>化设计中的一些小技巧

    计量标准重复性的测量方法

    计量标准的重复性规定用测量结果的分散性来定量地表示,即用单次测量结果yi的实验标准差s(yi)来表示。当测量结果由单次测量得到时,它直接就是由重复性引入的不确定度分量。
    的头像 发表于 11-12 14:19 1.7w次阅读

    流量计重复性差的解决方法

    在实际使用过程中,流量计常会出现重复性差的问题,困惑了不少现场工程师。经过现场观察和总结,发现流量计和体积管内有气体,系统的压力、温度、流量不稳定,四通阀转换密封不合适,计量球的圆度和尺寸等情况都会
    发表于 01-05 11:37 1218次阅读

    计量标准的重复性考核要求

    计量标准的重复性规定用测量结果的分散性来定量地表示,即用单次测量结果yi的实验标准差s(yi)来表示。当测量结果由单次测量得到时,它直接就是由重复性引入的不确定度分量。当
    的头像 发表于 03-18 10:21 2469次阅读

    在Verilog中利用函数将重复性的行为级设计进行提取

    在 Verilog 中,可以利用任务(关键字为 task)或函数(关键字为 function),将重复性的行为级设计进行提取,并在多个地方调用,来避免重复代码的多次编写,使代码更加的简洁、易懂。
    的头像 发表于 06-02 11:39 857次阅读
    在Verilog中利用函数将<b class='flag-5'>重复性</b>的行为级设计进行提取

    计量标准的重复性考核要求

    在进行重复性测量时,相同的测量程序,相同的观测者,使用相同的仪器,以及相同地点等要求一般均能得到满足而不会有任何问题。关键是如何理解“在相同的条件下”以及“在短时间内重复测量”这两条要求。
    的头像 发表于 07-19 15:52 744次阅读
    计量标准的<b class='flag-5'>重复性</b>考核要求