0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

VIVADO中时序报告中WNS、WHS、TNS、THS有什么含义

OpenFPGA 来源:CSDN技术社区 作者:zpc0212 2021-10-21 14:32 次阅读

VIVADO中时序报告中WNS,WHS,TNS,THS含义运行“report_timing”或“report_timing_summary”命令后,会注意到 WNS、TNS、WHS 和 THS。

WNS 代表最差负时序裕量 (Worst Negative Slack)

TNS 代表总的负时序裕量 (Total Negative Slack),也就是负时序裕量路径之和。

WHS 代表最差保持时序裕量 (Worst Hold Slack)

THS 代表总的保持时序裕量 (Total Hold Slack),也就是负保持时序裕量路径之和。

这些值告诉设计者设计与时序要求相差多少。如果为正值,则说明能达到时序要求,若为负值,则说明时序达不到要求。

但是并不代表有时序警告功能实现不了,只是工程不稳定。更加或者删减模块甚至重新编译都会出现问题。这时候可以打开implementation查看时序警告的位置。

9212b4c2-322d-11ec-82a8-dac502259ad0.png

所谓时序达不到要求就是指不能够满足建立保持时间,应该去关注对于时序的约束。

时序约束包括很多,后面会针对这方面专门出一个专栏。主要的是对时钟信号的周期、占空比的约束,时钟分组,时钟抖动,还有IO的输入输出延时约束等

来源:https://support.xilinx.com/s/article/51455?language=zh_CN

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • THS
    THS
    +关注

    关注

    0

    文章

    11

    浏览量

    15883
  • Vivado
    +关注

    关注

    18

    文章

    789

    浏览量

    65092

原文标题:【Vivado那些事儿】VIVADO中时序报告中WNS,WHS,TNS,THS含义

文章出处:【微信号:Open_FPGA,微信公众号:OpenFPGA】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    hal_initTickuwTickFreq的含义是什么?

    在设定1ms延时中,HAL_SYSTICK_Config(SystemCoreClock / (1000U / uwTickFreq))这个函数里uwTickFreq代表含义是什么,1000
    发表于 03-18 06:25

    Vivado时序问题分析

    有些时候在写完代码之后呢,Vivado时序报红,Timing一栏有很多时序问题。
    的头像 发表于 01-05 10:18 389次阅读

    THS4275/THS3095具有关断状态的AMP怎么用?

    THS4275/THS3095等具有关断状态的AMP怎么用? 像THS4275和THS3095这种具有关断状态的OPA怎么用?它的REF和PD管脚怎么接?
    发表于 12-22 07:52

    FPGA时序约束--基础理论篇

    时序约束可以让VIvado和Quartus等FPGA开发软件,在布线时检测综合出来的逻辑电路是否满足这个时序要求,并生成时序报告
    发表于 11-15 17:41

    vivado使用误区与进阶资料

    Applications”,其实也是专为 Vivado 而设的一个部门,从 Vivado 的早期计划开始,我和我的同事们就投入到了 Xilinx©和Vivado 的客户们的推广和支持
    发表于 09-20 06:31

    Vivado使用指南

    Vivado界面也有Add Source的快捷接口,如下图所示:进入Add Source界面后选择对应要添加的文件类型;Constraints:约束文件(含时序约束和物理约束);Design source
    发表于 09-06 17:55

    vivado修改了DTCM的大小后,如何在nuclei studio对应地修改内存的大小?

    请问在vivado修改了DTCM的大小后,如何在nuclei studio 对应地修改内存的大小?
    发表于 08-16 06:54

    Vivado综合阶段什么约束生效?

    Vivado综合默认是timing driven模式,除了IO管脚等物理约束,建议添加必要的时序约束,有利于综合逻辑的优化,同时综合后的design里面可以评估时序
    的头像 发表于 07-03 09:03 438次阅读

    用 TCL 定制 Vivado 设计实现流程

    迭代运行,但需留意每次的时序报告,若出现时序恶化就应及时停止。 增量设计流程 Vivado 的增量设计也是一个不得不提的功能。当设计进行
    发表于 06-28 19:34

    如何读懂FPGA开发过程中的Vivado时序报告

    FPGA开发过程中,vivado和quartus等开发软件都会提供时序报告,以方便开发者判断自己的工程时序是否满足时序要求。
    发表于 06-26 15:29 569次阅读
    如何读懂FPGA开发过程中的<b class='flag-5'>Vivado</b><b class='flag-5'>时序</b><b class='flag-5'>报告</b>?

    如何在Vivado中添加时序约束呢?

    今天介绍一下,如何在Vivado中添加时序约束,Vivado添加约束的方法有3种:xdc文件、时序约束向导(Constraints Wizard)、
    的头像 发表于 06-26 15:21 2182次阅读
    如何在<b class='flag-5'>Vivado</b>中添加<b class='flag-5'>时序</b>约束呢?

    如何在Vivado中添加时序约束

    前面几篇文章已经详细介绍了FPGA时序约束基础知识以及常用的时序约束命令,相信大家已经基本掌握了时序约束的方法。
    的头像 发表于 06-23 17:44 1375次阅读
    如何在<b class='flag-5'>Vivado</b>中添加<b class='flag-5'>时序</b>约束

    如何读懂Vivado时序报告

    FPGA开发过程中,vivado和quartus等开发软件都会提供时序报告,以方便开发者判断自己的工程时序是否满足时序要求。
    的头像 发表于 06-23 17:44 607次阅读
    如何读懂<b class='flag-5'>Vivado</b><b class='flag-5'>时序</b><b class='flag-5'>报告</b>

    别克君越控开关失灵,什么情况怎么解决

    开关
    YS YYDS
    发布于 :2023年06月23日 00:38:57

    UML时序图详解

    本篇介绍了UML时序图的基础知识,并通过visio绘制一个物联网设备WIFI配网的UML时序图实例,来介绍UML时序图的画法与所表达的含义
    的头像 发表于 05-16 09:09 1327次阅读
    UML<b class='flag-5'>时序</b>图详解