0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Verilog中四个基础的时序分析

FPGA之家 来源:FPGA探索者 作者:FPGA探索者 2021-08-25 11:52 次阅读

下列 时序检查语句 错误的是()

A. $setup(posedge clk, data, tSU)

B. $hold(posedge clk, data, tHLD)

C. $setuphold(posedge clk, data, tSU, tHLD)

答案:A

解析:

在时序检查函数中,$setup 函数比较特殊,格式是:

$setup(data_event, reference_event, limit);

其他常见的检查是:

$.。..。.(reference_event, data_event, limit);

假设信号名称为 data,时钟 clk 的上升沿触发 posedge clk,要求 setup 满足 tSU,则

$setup(data, posedge clk, tSU);

常用的时序检查语句

(1)setup 建立时间检查

$setup(data, posedge clk, tSU);

(2)hold 保持时间检查

$hold(posedge clk, data, tHLD);

(3)setuphold 建立/保持时间检查

$setuphold(posedge clk, data, tSU, tHLD);

(4)width 脉冲宽度检查

$width(posedge clk, 4);

(5)skew 时钟歪斜检查

$skew(posedge clk1, posedge clk2, 4);

(6)period 时钟周期检查

$period(posedge clk, 5);

(7)recovery 复位信号的恢复时间检查

$recovery(posedge rst, posedge clk, 3);

(8)removal 复位信号的移除时间检查

$removal(posedge rst, posedge clk, 3);

(9)recrem 复位信号的恢复/移除时间检查

$recrem(posedge rst, posedge clk, recovery_limit, removal_limit);

四个基础的时序分析

(1)对于时钟和数据信号,分析setup建立时间和hold保持时间

setup 建立时间:在有效的时钟沿来临前,数据需要保持稳定的最短时间,简写为Tsu;

hold 保持时间:在有效的时钟沿来临后,数据需要保持稳定的最短时间,简写为 Th;

(2)对于时钟和异步复位信号,分析recovery恢复时间和removal移除时间

recovery 恢复时间:在有效的时钟沿来临前,异步复位信号保持稳定的最短时间;

removal 移除时间:在有效的时钟沿来临后,异步复位信号保持稳定的最短时间,在这个时间以后,才可以移除复位信号;

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Verilog
    +关注

    关注

    28

    文章

    1325

    浏览量

    109285
  • 时钟
    +关注

    关注

    10

    文章

    1479

    浏览量

    130295
收藏 人收藏

    评论

    相关推荐

    半导体发展的四个时代

    台积电的 Suk Lee 发表了题为“摩尔定律和半导体行业的第四个时代”的主题演讲。Suk Lee表示,任何试图从半导体行业传奇而动荡的历史中发掘出一些意义的事情都会引起我的注意。正如台积电所解释
    发表于 03-27 16:17

    半导体发展的四个时代

    台积电的 Suk Lee 发表了题为“摩尔定律和半导体行业的第四个时代”的主题演讲。Suk Lee表示,任何试图从半导体行业传奇而动荡的历史中发掘出一些意义的事情都会引起我的注意。正如台积电所解释
    发表于 03-13 16:52

    网表时序仿真案例:浅说$width语法

    verilog中,$width是时序检查函数,用于检查脉冲的位宽是否符合要求。
    的头像 发表于 03-01 09:46 425次阅读
    网表<b class='flag-5'>时序</b>仿真案例:浅说$width语法

    求助各位大神,图片画圈圈的贴片丝印是N ,地下有四个焊点,求型号

    求助各位大神,图片画圈圈的贴片丝印是N ,地下有四个焊点,求型号
    发表于 02-27 11:14

    请问ad5522四个通道能做成地互相隔离的吗?

    ad5522,四个通道能做成地互相隔离的吗?现在有应用是给产品供电,然后测量产品的另外两管脚测量电阻,这两管脚都不能接地,需要像万用表那样浮地测量。这样就要求ad5522
    发表于 01-11 06:08

    PCB四个角的地孔为什么要放置电源填充?目的是什么?

    PCB四个角的地孔为什么要放置电源填充?目的是什么?
    发表于 11-06 16:05

    sd卡的四个引脚分别对应板子的哪几个io口?

    各位大佬,我们想知道sd卡的四个引脚,分别对应板子的哪几个io口啊,找了半天没找到。
    发表于 08-16 07:18

    Verilog设计寄存器

    现代逻辑设计中,时序逻辑设计是核心,而寄存器又是时序逻辑的基础,下面将介绍几种常见的寄存器的Verilog设计代码供初学者进行学习理解。
    的头像 发表于 07-27 09:03 2067次阅读
    <b class='flag-5'>Verilog</b>设计寄存器

    静态时序分析的相关概念

      本文主要介绍了静态时序分析 STA。
    的头像 发表于 07-04 14:40 575次阅读
    静态<b class='flag-5'>时序</b><b class='flag-5'>分析</b>的相关概念

    介绍时序分析的基本概念lookup table

    今天要介绍的时序分析基本概念是lookup table。中文全称时序查找表。
    的头像 发表于 07-03 14:30 744次阅读
    介绍<b class='flag-5'>时序</b><b class='flag-5'>分析</b>的基本概念lookup table

    静态时序分析的基本概念和方法

    引言 在同步电路设计中,时序是一个非常重要的因素,它决定了电路能否以预期的时钟速率运行。为了验证电路的时序性能,我们需要进行 静态时序分析 ,即 在最坏情况下检查所有可能的
    的头像 发表于 06-28 09:38 792次阅读
    静态<b class='flag-5'>时序</b><b class='flag-5'>分析</b>的基本概念和方法

    同步电路设计中静态时序分析时序约束和时序路径

    同步电路设计中,时序是一个主要的考虑因素,它影响了电路的性能和功能。为了验证电路是否能在最坏情况下满足时序要求,我们需要进行静态时序分析,即不依赖于测试向量和动态仿真,而只根据每个逻辑
    发表于 06-28 09:35 545次阅读
    同步电路设计中静态<b class='flag-5'>时序</b><b class='flag-5'>分析</b>的<b class='flag-5'>时序</b>约束和<b class='flag-5'>时序</b>路径

    Verilog基本语法概述

    Verilog 是一种用于数字逻辑电路设计的硬件描述语言,可以用来进行数字电路的仿真验证、时序分析、逻辑综合。
    的头像 发表于 06-10 10:04 901次阅读
    <b class='flag-5'>Verilog</b>基本语法概述

    介绍Verilog的2大类时序控制方法

    Verilog 提供了 2 大类时序控制方法:时延控制和事件控制。事件控制主要分为边沿触发事件控制与电平敏感事件控制。
    的头像 发表于 06-02 11:44 668次阅读
    介绍<b class='flag-5'>Verilog</b>的2大类<b class='flag-5'>时序</b>控制方法

    电阻在时序设计的妙用

      如何实现电阻在时序设计的妙用呢?   举个例子:   一设计要求FPGA芯片兼容的支持两厂家的存储器,但是经过时序
    发表于 04-23 15:50